收藏 分销(赏)

数字电路半导体存储器原理.ppt

上传人:w****g 文档编号:2996654 上传时间:2024-06-12 格式:PPT 页数:75 大小:3.58MB
下载 相关 举报
数字电路半导体存储器原理.ppt_第1页
第1页 / 共75页
数字电路半导体存储器原理.ppt_第2页
第2页 / 共75页
数字电路半导体存储器原理.ppt_第3页
第3页 / 共75页
数字电路半导体存储器原理.ppt_第4页
第4页 / 共75页
数字电路半导体存储器原理.ppt_第5页
第5页 / 共75页
点击查看更多>>
资源描述

1、数字数字电路半路半导体存体存储器原理器原理本章内容本章内容7.1 概述概述7.2 只读存储器(只读存储器(ROM)7.3 随机存储器(随机存储器(RAM)7.4 存储器容量的扩展存储器容量的扩展7.5 用存储器实现组合逻辑函数用存储器实现组合逻辑函数7.1 概述概述1.半导体存储器的定义半导体存储器的定义 半导体存储器就是能存储大量二值信息(或称作半导体存储器就是能存储大量二值信息(或称作二值数据)的半导体器件。它是属于大规模集成电路,二值数据)的半导体器件。它是属于大规模集成电路,由于计算机以及一些数字系统中要存储大量的数据,由于计算机以及一些数字系统中要存储大量的数据,因此存储器是数字系统

2、中不可缺少的组成部分,其组因此存储器是数字系统中不可缺少的组成部分,其组成框图如图成框图如图7.1.1所示。所示。输输入入/出出电电路路I/O输入输入/出控制出控制图图7.1.12.2.存储器的性能指标存储器的性能指标存储器的性能指标存储器的性能指标 由于计算机处理的数据量很大,运算速度越来越由于计算机处理的数据量很大,运算速度越来越快,故对存储器的速度和容量有一定的要求。所以将快,故对存储器的速度和容量有一定的要求。所以将存储量和存取速度作为衡量存储器的重要性能指标。存储量和存取速度作为衡量存储器的重要性能指标。目前动态存储器的容量已达目前动态存储器的容量已达109位位/片,一些高速存储片,

3、一些高速存储器的存取时间仅器的存取时间仅10ns左右。左右。7.1 概述概述3.3.半导体存储器的分类半导体存储器的分类半导体存储器的分类半导体存储器的分类(1)从存取功能上分类)从存取功能上分类 从存取功能上可分为只读存储器(从存取功能上可分为只读存储器(ReadOnly Memory,简称,简称ROM)和随机存储器()和随机存储器(Random Access Memory,简称,简称RAM)。)。ROM的特点是在正常工作状态下只能从中读取数据,的特点是在正常工作状态下只能从中读取数据,不能快速随时修改或重新写入数据。其电路结构简单,不能快速随时修改或重新写入数据。其电路结构简单,而且断电后

4、数据也不会丢失。缺点是只能用于存储一而且断电后数据也不会丢失。缺点是只能用于存储一些固定数据的场合。些固定数据的场合。7.1 概述概述a.ROMa.ROM (非易失性存储器)(非易失性存储器)(非易失性存储器)(非易失性存储器)ROM可分为掩模可分为掩模ROM、可编程、可编程ROM(Programmable ReadOnly Memory,简称,简称PROM)和可擦除的可编程)和可擦除的可编程ROM(Erasable Programmable ReadOnly Memory,简称,简称EPROM)。)。*掩模掩模掩模掩模ROMROM在制造时,生产厂家利用掩模技术把数据在制造时,生产厂家利用掩模

5、技术把数据在制造时,生产厂家利用掩模技术把数据在制造时,生产厂家利用掩模技术把数据写入存储器中,一旦写入存储器中,一旦写入存储器中,一旦写入存储器中,一旦ROMROM制成,其存储的数据就固制成,其存储的数据就固制成,其存储的数据就固制成,其存储的数据就固定不变,无法更改。定不变,无法更改。定不变,无法更改。定不变,无法更改。EPROM是采用浮栅技术的可编程存储器,其数据不是采用浮栅技术的可编程存储器,其数据不但可以由用户根据自己的需要写入,而且还能擦除重但可以由用户根据自己的需要写入,而且还能擦除重写,所以具有较大的使用灵活性。它的数据的写入需写,所以具有较大的使用灵活性。它的数据的写入需要通

6、用或专用的编程器,其擦除为照射擦除,为一次要通用或专用的编程器,其擦除为照射擦除,为一次全部擦除。电擦除的全部擦除。电擦除的PROM有有 E2PROM和快闪和快闪ROM。7.1 概述概述PROMPROM在出厂时存储内容全为在出厂时存储内容全为在出厂时存储内容全为在出厂时存储内容全为1 1(或者全为(或者全为(或者全为(或者全为0),0),用户可用户可用户可用户可根据自己的需要写入,利用通用或专用的编程器,将根据自己的需要写入,利用通用或专用的编程器,将根据自己的需要写入,利用通用或专用的编程器,将根据自己的需要写入,利用通用或专用的编程器,将某些单元改写为某些单元改写为某些单元改写为某些单元改

7、写为0(0(或为或为或为或为1)1)。b.b.随机存储器随机存储器随机存储器随机存储器RAMRAM(读写存储器)(读写存储器)(读写存储器)(读写存储器)(静态易失性存储器)(静态易失性存储器)(静态易失性存储器)(静态易失性存储器)随机存储器为在正常工作状态下就可以随时向存随机存储器为在正常工作状态下就可以随时向存储器里写入数据或从中读出数据。储器里写入数据或从中读出数据。根据采用的存储单元工作原理不同随机存储器又根据采用的存储单元工作原理不同随机存储器又可分为静态存储器(可分为静态存储器(Static Random Access Memory,简称,简称SRAM)和动态存储器()和动态存储

8、器(Dynamic Random Access Memory,简称,简称DRAM)7.1 概述概述 SRAM的特点是数据由触发器记忆,只要不断电,的特点是数据由触发器记忆,只要不断电,数据就能永久保存数据就能永久保存。但。但SRAM存储单元所用的管子数存储单元所用的管子数量多,功耗大,集成度受到限制,为了克服这些缺点,量多,功耗大,集成度受到限制,为了克服这些缺点,则产生了则产生了DRAM。它的集成度要比。它的集成度要比SRAM高得多,缺高得多,缺点是速度不如点是速度不如SRAM。RAM使用灵活方便,可以随时从其中任一指定地使用灵活方便,可以随时从其中任一指定地址读出(取出)或写入(存入)数据

9、,缺点是具有数址读出(取出)或写入(存入)数据,缺点是具有数据的易失性,即一旦失电,所存储的数据立即丢失。据的易失性,即一旦失电,所存储的数据立即丢失。例如:例如:PC机系统上的内存,机系统上的内存,DDR=Double Data Rate双双倍速率同步动态随机存储器倍速率同步动态随机存储器。严格的说。严格的说DDR应该叫应该叫DDR SDRAM,人们习惯称为,人们习惯称为DDR,其中,其中,SDRAM 是是Synchronous Dynamic Random Access Memory的缩的缩写,即写,即同步动态随机存取存储器同步动态随机存取存储器。而。而DDR SDRAM是是Double

10、Data Rate SDRAM的缩写,是的缩写,是双倍速率同步动双倍速率同步动态随机存储器态随机存储器的意思。的意思。DDR内存是在内存是在SDRAM内存基内存基础上发展而来的,仍然沿用础上发展而来的,仍然沿用SDRAM生产体系生产体系。7.1 概述概述 从制造工艺上存储器可分为双极型和单极型从制造工艺上存储器可分为双极型和单极型(CMOS型),由于型),由于MOS电路(特别是电路(特别是CMOS电路),电路),具有功耗低、集成度高的优点,所以目前大容量的存具有功耗低、集成度高的优点,所以目前大容量的存储器都是采用储器都是采用MOS工艺制作的。工艺制作的。(2)从制造工艺上分类)从制造工艺上分

11、类硬盘硬盘:(港台称之为硬碟,英文名:(港台称之为硬碟,英文名:Hard Disc Drive 简称简称HDD 全名全名 温彻斯特式硬盘)是电脑主要的存储温彻斯特式硬盘)是电脑主要的存储媒介之一,由一个或者多个铝制或者玻璃制的媒介之一,由一个或者多个铝制或者玻璃制的碟片组组成。这些碟片外覆盖有铁磁性材料。绝大多数硬盘都成。这些碟片外覆盖有铁磁性材料。绝大多数硬盘都是固定硬盘,被永久性地密封固定在是固定硬盘,被永久性地密封固定在硬盘驱动器中。中。ATA:全称全称Advanced Technology Attachment,是用传,是用传统的统的40-pin 并口数据线连接并口数据线连接主板与硬盘

12、的,与硬盘的,外部接口速度外部接口速度最大为133MB/s.IDE:英文全称为英文全称为“Integrated Drive Electronics”“Integrated Drive Electronics”,即,即“电子集成驱动器电子集成驱动器”,俗称,俗称PATAPATA并口。并口。SATA:(Serial ATA)口的硬盘又叫串口硬盘,是未来PC机硬盘的趋势.SATA II:是芯片巨头Intel英特尔与硬盘巨头Seagate希捷在SATA的基础上发展起来的,其主要特征是外部传输率从SATA的150MB/s进一步提高到了300MB/s.SCSI:英文全称为“Small Computer S

13、ystem Interface”(小型计算机系统接口),是同IDE(ATA)完全不同的接口,IDE接口是普通PC的标准接口,而SCSI并不是专门为硬盘设计的接口,是一种广泛应用于小型机上的高速数据传输技术。不同的厂商,不同的组织,各自制定了自己的不同的厂商,不同的组织,各自制定了自己的FLASHFLASH存储卡标准,所以就有了现在五花八门的闪存存储卡标准,所以就有了现在五花八门的闪存卡。目前比较流行的闪存卡种类有卡。目前比较流行的闪存卡种类有CFCF卡、卡、SDSD卡、卡、MMCMMC卡、卡、MSMS记忆棒、记忆棒、xDxD卡等,为了追求更快的读写卡等,为了追求更快的读写速度和更小的体积,在这

14、些卡的基础上,又衍生出了速度和更小的体积,在这些卡的基础上,又衍生出了mini-SDmini-SD、RS-MMCRS-MMC、Ultra CFUltra CF、MS Pro DuoMS Pro Duo、T-FlashT-Flash等等更快速更小的卡种,使得原本复杂的存储卡卡种等等更快速更小的卡种,使得原本复杂的存储卡卡种变得更加纷乱了。变得更加纷乱了。FLASH存储器:又称闪存,它结合了又称闪存,它结合了ROMROM和和RAMRAM的长的长处,不仅具备电子可擦除可编程(处,不仅具备电子可擦除可编程(EEPROMEEPROM)的性能,还)的性能,还不会断电丢失数据同时可以快速读取数据(不会断电丢

15、失数据同时可以快速读取数据(NVRAMNVRAM的优势)的优势),U U盘和盘和MP3MP3里用的就是这种存储器。里用的就是这种存储器。目前Flash主要有两种NOR Flash和NADN Flash。NOR Flash的读取和我们常见的SDRAM的读取是一样,用户可以直接运行装载在NOR FLASH里面的代码,这样可以减少SRAM的容量从而节约了成本。NAND Flash没有采取内存的随机读取技术,它的读取是以一次读取一块的形式来进行的,通常是一次读取512个字节,采用这种技术的Flash比较廉价。用户不能直接运行NAND Flash上的代码,因此好多使用NAND Flash的开发板除了使用

16、NAND Flah以外,还作上了一块小的NOR Flash来运行启动代码。一般小容量的用NOR Flash,因为其读取速度快,多用来存储操作系统等重要信息,而大容量的用NAND FLASH,最常见的NAND FLASH应用是嵌入式系统采用的DOC(Disk On Chip)和我们通常用的闪盘,可以在线擦除。7.2 只读存储器(只读存储器(ROM)7.2.1 掩模只读存储器掩模只读存储器 在采用掩模工艺制作在采用掩模工艺制作ROM时,其中存储的数据是时,其中存储的数据是由制作过程中使用的掩模板决定的,此模板是厂家按由制作过程中使用的掩模板决定的,此模板是厂家按照用户的要求专门设计的,因此出厂时数

17、据已经照用户的要求专门设计的,因此出厂时数据已经“固化固化”在里面了。在里面了。1.ROM的组成:的组成:ROM电电路结构包含存路结构包含存储矩阵、地址储矩阵、地址译码器和输出译码器和输出缓冲器三个部缓冲器三个部分,其框图如分,其框图如图图7.2.1所示。所示。图图7.2.1a.a.存储矩阵存储矩阵存储矩阵存储矩阵 存储矩阵是由许多存储单元排列而成。存储单元存储矩阵是由许多存储单元排列而成。存储单元可以是二极管、双极型三极管或可以是二极管、双极型三极管或MOS管,每个单元能管,每个单元能存放存放1位二值代码(位二值代码(0或或1),而每一个或一组存储单元有,而每一个或一组存储单元有一个相应的地

18、址代码。一个相应的地址代码。图图7.2.17.2.1 掩模只读存储器掩模只读存储器b.b.地址译码器地址译码器地址译码器地址译码器c.输出缓冲器输出缓冲器 输出缓冲器的作用提高存储器的带负载能力,另外是实输出缓冲器的作用提高存储器的带负载能力,另外是实现对输出状态的三态控制,以便与系统的总线相联。现对输出状态的三态控制,以便与系统的总线相联。地址译码器是将输入的地址代码译成相应的控制信地址译码器是将输入的地址代码译成相应的控制信号,利用这个控制信号从存储矩阵中把指定的单元选出号,利用这个控制信号从存储矩阵中把指定的单元选出,并把其中的数据送到输出缓冲器,并把其中的数据送到输出缓冲器图图7.2.

19、17.2.1 掩模只读存储器掩模只读存储器2.2.二极管二极管二极管二极管ROMROM电路电路电路电路7.2.1 掩模只读存储器掩模只读存储器 图图7.2.2是具有是具有2位地址输入码和位地址输入码和4位位数据输出的数据输出的ROM电电路。其地址译码器路。其地址译码器是由是由4个二极管与门个二极管与门构成,存储矩阵是构成,存储矩阵是由二极管或门构成,由二极管或门构成,输出是由三态门组输出是由三态门组成的。成的。图图7.2.2其中:其中:其中:其中:地址译码器是由地址译码器是由4个二极个二极管与门组成,管与门组成,A1、A0称称为地址线,译码器将为地址线,译码器将4个个地址码译成地址码译成W0W

20、3 4根根线上的高电平信号。线上的高电平信号。W0W3叫做字线。叫做字线。图图7.2.27.2.1 掩模只读存储器掩模只读存储器存储矩阵是由存储矩阵是由4个二极管个二极管或门组成的编码器,当或门组成的编码器,当W0W3每根线分别给出每根线分别给出高电平信号时,都会在高电平信号时,都会在D0D34根线上输出二进根线上输出二进制代码,制代码,D0D3称为位称为位线(或数据线)。线(或数据线)。7.2.1 掩模只读存储器掩模只读存储器A0An-1W0W(2n-1)字线字线位线位线输出端的缓冲器用来输出端的缓冲器用来提高带负载能力,并提高带负载能力,并将输出的高低电平变将输出的高低电平变换成标准的逻辑

21、电平。换成标准的逻辑电平。同时通过给定同时通过给定 EN 信号实现对输出的三信号实现对输出的三态控制,以便与总线态控制,以便与总线相联。在读出数据时,相联。在读出数据时,只要输入指定的地址只要输入指定的地址代码,同时令代码,同时令 EN 0,则指定的地址内则指定的地址内各存储单元所存数据各存储单元所存数据便出现在数据输出端。便出现在数据输出端。7.2.1 掩模只读存储器掩模只读存储器图图7.2.27.2.1 掩模只读存储器掩模只读存储器7.2.1 掩模只读存储器掩模只读存储器图图图图7.2.37.2.3也可以用简化画法。凡是有二极管的位置,均也可以用简化画法。凡是有二极管的位置,均也可以用简化

22、画法。凡是有二极管的位置,均也可以用简化画法。凡是有二极管的位置,均用交叉点用交叉点用交叉点用交叉点“.”“.”表示,并且省略电阻、输出缓冲器和电源表示,并且省略电阻、输出缓冲器和电源表示,并且省略电阻、输出缓冲器和电源表示,并且省略电阻、输出缓冲器和电源等符号,如图等符号,如图等符号,如图等符号,如图7.2.47.2.4所示。所示。所示。所示。图图7.2.2注:注:a.通常将每个输出的代码叫一个通常将每个输出的代码叫一个“字字”(WORD),),W0W1为字线,为字线,D0D3为位线,其相交叉的点就是一个为位线,其相交叉的点就是一个存储单元,其中有二极管的相当于存存储单元,其中有二极管的相当

23、于存1,没有二极管相当没有二极管相当于存于存0.因此交叉点的数目即为存储单元数。习惯上用存因此交叉点的数目即为存储单元数。习惯上用存储单元的数目表示存储器的存储量(或称为容量)即储单元的数目表示存储器的存储量(或称为容量)即b.二极管二极管ROM的电路结构简单,故集成度可以做的很的电路结构简单,故集成度可以做的很高,可批量生产,价格便宜。高,可批量生产,价格便宜。c.可以把可以把ROM看成一个组合逻辑电路,每一条字线看成一个组合逻辑电路,每一条字线就是对应输入变量的最小项,而位线是最小项的或,就是对应输入变量的最小项,而位线是最小项的或,故故ROM可实现逻辑函数的与或标准式。可实现逻辑函数的与

24、或标准式。7.2.1 掩模只读存储器掩模只读存储器存储容量字数存储容量字数位数位数如上述如上述ROM的存储量为的存储量为4416位位。掩模掩模ROM的特点:的特点:出厂时已经固定,不出厂时已经固定,不能更改,适合大量生能更改,适合大量生产简单,便宜,非易产简单,便宜,非易失性失性7.2.1 掩模只读存储器掩模只读存储器7.2.2 可编程只读存储器(可编程只读存储器(PROM)在开发数字电路新产品的工作过程中,或小批量生在开发数字电路新产品的工作过程中,或小批量生产产品时,由于需要的产产品时,由于需要的ROM数量有限,设计人员经常数量有限,设计人员经常希望按照自己的设想迅速写入所需要内容的希望按

25、照自己的设想迅速写入所需要内容的ROM。这。这就出现了就出现了PROM可编程只读存储器。可编程只读存储器。PROM的整体结构和掩模的整体结构和掩模ROM一样,也有地址一样,也有地址译码器、存储矩阵和输出电路组成。但在出厂时存储译码器、存储矩阵和输出电路组成。但在出厂时存储矩阵的交叉点上全部制作了存储单元,相当于存入了矩阵的交叉点上全部制作了存储单元,相当于存入了1.如图如图7.2.6所示所示 在图在图7.2.6中,三极管的中,三极管的be结接结接在字线和位线之间,相当于字线和在字线和位线之间,相当于字线和位线之间的二极管。快速熔断丝接位线之间的二极管。快速熔断丝接在发射极,当想写入在发射极,当

26、想写入0时,只要把相时,只要把相应的存储单元的熔断丝烧断即可。应的存储单元的熔断丝烧断即可。但只可编写一次但只可编写一次图图7.2.6图图7.2.7为为168位的位的PROM结构原理图。结构原理图。写入时,要使用编写入时,要使用编程器程器7.2.1 可编程只读存储器(可编程只读存储器(PROM)图图7.2.7 由此可见由此可见PROM的内容一旦写入则无法更改,只可的内容一旦写入则无法更改,只可以写一次,为了能够经常修改存储的内容,满足设计以写一次,为了能够经常修改存储的内容,满足设计的要求,需要能多次修改的的要求,需要能多次修改的ROM,这就是可擦除重写,这就是可擦除重写的的ROM。这种擦除分

27、为紫外线擦除(。这种擦除分为紫外线擦除(EPROM)和电)和电擦除擦除E2PROM。7.2.3 可擦除的可编程只读存储器(可擦除的可编程只读存储器(EPROM)一、一、EPROM(UltraViolet Erasable Programmable ReadOnly Memory,简称,简称UVEPROM)EPROM和前面的和前面的PROM在总体结构上没有大的在总体结构上没有大的区别,只是存储单元不同,采用叠栅注入区别,只是存储单元不同,采用叠栅注入MOS管管(Stackedgate Injuction MetalOxideSemiconductor,简称,简称SIMOS)做为存储单元。)做为存

28、储单元。7.2.3 可擦除的可编程只读存储器(可擦除的可编程只读存储器(EPROM)1.采用叠栅技术的采用叠栅技术的MOS管管SIMOS图图7.2.8为为SIMOS的结构原理图和符号。它是一个的结构原理图和符号。它是一个N沟沟道增强型道增强型MOS管,有两个重叠的栅极控制栅管,有两个重叠的栅极控制栅GC和浮置栅和浮置栅Gf。控制栅。控制栅GC用于控制读写,浮置栅用于控制读写,浮置栅Gf用于用于长期保存注入的电荷。长期保存注入的电荷。图图7.2.8图图7.2.87.2.3 可擦除的可编程只读存储器(可擦除的可编程只读存储器(EPROM)当浮置栅上没注入电荷时,在控制栅上加上正常电当浮置栅上没注入

29、电荷时,在控制栅上加上正常电压时能够使漏源之间压时能够使漏源之间 产生导电沟道,产生导电沟道,SIMOS管导通。管导通。但当浮置栅注入负电荷以后,必须在控制栅上加更高的但当浮置栅注入负电荷以后,必须在控制栅上加更高的电压,才能抵消浮置栅上负电荷形成导电沟道,故电压,才能抵消浮置栅上负电荷形成导电沟道,故SIMOS管在栅极加正常电压时是不会导通的。管在栅极加正常电压时是不会导通的。2.工作原理工作原理 由由SIMOS管构成的存储单元管构成的存储单元如图如图7.2.9所示。所示。7.2.3 可擦除的可编程只读存储器(可擦除的可编程只读存储器(EPROM)当设计人员想写入当设计人员想写入“1”时,首

30、先时,首先应在漏源之间加较高的电压(应在漏源之间加较高的电压(20V25V),发生雪崩击穿。同时在控),发生雪崩击穿。同时在控制栅上加以高压脉冲(制栅上加以高压脉冲(25V/50ms),在栅极电场的作用下,浮置栅上注入在栅极电场的作用下,浮置栅上注入电荷。此时电荷。此时Gc加正常高电平时,加正常高电平时,SIMOS截止,截止,Dj1,而浮置栅未注入而浮置栅未注入电荷,电荷,Gc加正常高电平时加正常高电平时SIMOS导导通,通,Dj0.即写即写1的操作就是对浮置的操作就是对浮置栅的充电操作。栅的充电操作。SIMOS管的管的EPROM用紫外线擦除,再写入新的用紫外线擦除,再写入新的数据。数据。7.

31、2.3 可擦除的可编程只读存储器(可擦除的可编程只读存储器(EPROM)常用的常用的EPROM有有2716(2K8)、2732(4K8)、2764(8K8)等,等,型号后面的几位数表示的是存型号后面的几位数表示的是存储容量,单位为储容量,单位为K。二二二二 、E E2 2PROMPROM(Electrically Erasable Programmable Electrically Erasable Programmable ReadReadOnly MemoryOnly Memory,简写为,简写为,简写为,简写为E2PROME2PROM)7.2.3 可擦除的可编程只读存储器(可擦除的可编程

32、只读存储器(EPROM)虽然紫外线擦除的虽然紫外线擦除的EPROM具有重写功能,但擦除具有重写功能,但擦除操作复杂,速度慢。为了祢补这些不足,则产生了用操作复杂,速度慢。为了祢补这些不足,则产生了用电信号擦除的电信号擦除的PROM就是就是E2PROM。E2PROM的存的存储单元采用浮栅储单元采用浮栅隧道氧化层隧道氧化层MOS管,简称管,简称Flotox管,其结构图和管,其结构图和符号如图符号如图7.2.11所示。所示。图图7.2.11 Flotox的结构与的结构与SIMOS管相似,也是管相似,也是N沟道沟道MOS管,也有两个栅极控制栅管,也有两个栅极控制栅Gc和浮置栅和浮置栅Gf。不同的。不同

33、的是是Flotox管的浮置栅和漏区之间有个氧化层极薄的区管的浮置栅和漏区之间有个氧化层极薄的区域域(2108m)隧道区。当隧道区的电场达到一定)隧道区。当隧道区的电场达到一定程度(程度(107V/cm)时,便在漏区和浮置栅之间出现导)时,便在漏区和浮置栅之间出现导电隧道,电子可以双向通过,形成电流。电隧道,电子可以双向通过,形成电流。7.2.3 可擦除的可编程只读存储器(可擦除的可编程只读存储器(EPROM)图图7.2.11在使用在使用在使用在使用FlotoxFlotox管做存储单元时,为了提高擦、写的可靠管做存储单元时,为了提高擦、写的可靠管做存储单元时,为了提高擦、写的可靠管做存储单元时,

34、为了提高擦、写的可靠性,在性,在性,在性,在E E2 2PROMPROM的存储单元中除了的存储单元中除了的存储单元中除了的存储单元中除了FlotoxFlotox管子外,还有管子外,还有管子外,还有管子外,还有一个选通管,如图一个选通管,如图一个选通管,如图一个选通管,如图7.2.127.2.12所示。所示。所示。所示。7.2.3 可擦除的可编程只读存储器(可擦除的可编程只读存储器(EPROM)*工作原理:工作原理:a.读出状态读出状态 在读出时,控制栅在读出时,控制栅Gc加加3V电压,如图电压,如图7.2.12所示,若所示,若Wj1,此时选通管,此时选通管T2导通,若导通,若Flotox的浮置

35、栅没充电荷,则的浮置栅没充电荷,则T1导通,在位线导通,在位线Bj上读出为上读出为0;若;若Flotox的浮置栅上充有电荷,则的浮置栅上充有电荷,则T1截止,在位线截止,在位线Bj上读出为上读出为1.图图7.2.12Gf3V5Vb.b.擦除(写擦除(写擦除(写擦除(写1 1)状态)状态)状态)状态7.2.3 可擦除的可编程只读存储器(可擦除的可编程只读存储器(EPROM)当擦除状态时,在控当擦除状态时,在控制栅和位线加高电压脉冲制栅和位线加高电压脉冲(20V/10ms),使得浮置栅,使得浮置栅上存储电荷。当控制栅加上存储电荷。当控制栅加正常电压时,正常电压时,Flotox管截管截止,一个字节被

36、擦除,则止,一个字节被擦除,则这个字节的所有存储单元这个字节的所有存储单元为为1的状态。的状态。c.c.写入(写写入(写写入(写写入(写0)0)状态状态状态状态 在写入情况下,令控在写入情况下,令控制栅为制栅为0V,同时在在字线,同时在在字线和位线上加和位线上加20V/10ms的脉的脉冲电压,应使写入的那些冲电压,应使写入的那些单元的单元的Flotox管的浮置栅放管的浮置栅放电,然后在控制栅电,然后在控制栅Gc加正加正常的常的3V电压,使电压,使Flotox管导通,则所存储的内容管导通,则所存储的内容为为0.注:虽然注:虽然E2PROM改用电信号擦除,但由于擦除和写改用电信号擦除,但由于擦除和

37、写入需要加高电压脉冲,且擦除和写入的时间仍然较长,入需要加高电压脉冲,且擦除和写入的时间仍然较长,所以正常工作只做所以正常工作只做ROM用。用。7.2.3 可擦除的可编程只读存储器(可擦除的可编程只读存储器(EPROM)7.2.4 利用ROM实现组合逻辑函数从从从从ROMROM的数据表可见:的数据表可见:的数据表可见:的数据表可见:若把若把若把若把ROMROM的输入地址的输入地址的输入地址的输入地址A1A0A1A0看作输入逻辑看作输入逻辑看作输入逻辑看作输入逻辑变量,将输出数据变量,将输出数据变量,将输出数据变量,将输出数据D3D2D1D0D3D2D1D0看作一组看作一组看作一组看作一组输输输

38、输出逻辑变量,则输入输出之间实现的出逻辑变量,则输入输出之间实现的出逻辑变量,则输入输出之间实现的出逻辑变量,则输入输出之间实现的就是一组多输出的组合逻辑函数:就是一组多输出的组合逻辑函数:就是一组多输出的组合逻辑函数:就是一组多输出的组合逻辑函数:地地地地 址址址址数数数数 据据据据A A1 1A A0 0DD3 3DD2 2DD1 1DD0 00 00 00 01 11 11 10 01 11 10 01 10 01 10 00 01 10 00 01 11 11 10 01 10 0 地址译码器是一个与阵列,它的输出包含了输入地址变量地址译码器是一个与阵列,它的输出包含了输入地址变量地址

39、译码器是一个与阵列,它的输出包含了输入地址变量地址译码器是一个与阵列,它的输出包含了输入地址变量的全部最小项,每一条字线对应一个最小项;存储矩阵的全部最小项,每一条字线对应一个最小项;存储矩阵的全部最小项,每一条字线对应一个最小项;存储矩阵的全部最小项,每一条字线对应一个最小项;存储矩阵是一个或阵列,每一位输出数据都是将地址译码器输出是一个或阵列,每一位输出数据都是将地址译码器输出是一个或阵列,每一位输出数据都是将地址译码器输出是一个或阵列,每一位输出数据都是将地址译码器输出的一些最小项相加。的一些最小项相加。的一些最小项相加。的一些最小项相加。结论:用具有结论:用具有n位输入地址和位输入地址

40、和m位位数据输出的数据输出的ROM可以获得一组可以获得一组(最多(最多m个)任何形式的个)任何形式的n变量组变量组合逻辑函数。合逻辑函数。例例 用用ROM实现下列组合逻辑函数。实现下列组合逻辑函数。解:解:7.3 随机存储器随机存储器(RAM)随机存储器也叫随机读随机存储器也叫随机读/写存储器,即在写存储器,即在RAM工工作时,可以随时从任一指定的地址读出数据,也可随作时,可以随时从任一指定的地址读出数据,也可随时将数据写入指定的存储单元。时将数据写入指定的存储单元。其特点是:读、写方便,使用灵活。缺点是:存入的其特点是:读、写方便,使用灵活。缺点是:存入的数据易丢失(即停电后数据随之丢失)。

41、分类:静态数据易丢失(即停电后数据随之丢失)。分类:静态随机存储器(随机存储器(SRAM)和动态随机存储器()和动态随机存储器(DRAM)。)。7.3.1 静态随机存储器(静态随机存储器(SRAM)一一、SRAM的结构和工作原理的结构和工作原理 SRAM电路一般由存储矩阵、地址译码器和读电路一般由存储矩阵、地址译码器和读/写控制电路(也叫输入写控制电路(也叫输入/输出电路)三部分组成,其框输出电路)三部分组成,其框图如图图如图7.3.1所示。所示。其中:其中:其中:其中:*存储矩阵:它是由许多存储单元排列而成,每个存存储矩阵:它是由许多存储单元排列而成,每个存储单元都能存储储单元都能存储1位二

42、值数据(位二值数据(1或或0),在译码器和读,在译码器和读/写电路的控制下,即可写入数据,也可读出数据。写电路的控制下,即可写入数据,也可读出数据。7.3.1 静态随机存储器(静态随机存储器(SRAM)图图7.3.1*地址译码器:地址译码器:地址译码器:地址译码器:地址译码器一般都分为地址译码器一般都分为行地址译码器和列地址译行地址译码器和列地址译码器码器两部分。行地址译码器将输入的地址代码的若干两部分。行地址译码器将输入的地址代码的若干位位A0Ai译成某一条字线的输出高、低电平信号,从存译成某一条字线的输出高、低电平信号,从存储矩阵中选中一行存储单元;储矩阵中选中一行存储单元;7.3.1 静

43、态随机存储器(静态随机存储器(SRAM)列地址译码器将输入地址代码的其余几位列地址译码器将输入地址代码的其余几位Ai1 An1译成某一根输出线上的高、低电平信号,从字线选中译成某一根输出线上的高、低电平信号,从字线选中的一行存储单元中再选的一行存储单元中再选1位(或几位),使这些被选位(或几位),使这些被选中的单元经读中的单元经读/写控制电路与输入写控制电路与输入/输出接通,以便对输出接通,以便对这些单元进行读、写操作。这些单元进行读、写操作。7.3.1 静态随机存储器(静态随机存储器(SRAM)*读读读读/写控制电路写控制电路写控制电路写控制电路:读读/写控制电路用于对电路的工作状态进行控制

44、。当写控制电路用于对电路的工作状态进行控制。当读读/写控制信号写控制信号R/W =1时,执行读操作时,执行读操作,将存储单元里,将存储单元里的数据送到输入的数据送到输入/输出端上;输出端上;当当 R/W 0时,执行写操时,执行写操作作,加到输入,加到输入/输出端上的数据被写入存储单元中。在读输出端上的数据被写入存储单元中。在读/写控制电路中另设有片选输入端写控制电路中另设有片选输入端 CS 。当。当CS 0时,时,RAM为正常工作状态;当为正常工作状态;当CS 1时,所有的输入时,所有的输入/输输出端均为高阻态,不能对出端均为高阻态,不能对RAM进行读进行读/写操作。写操作。7.3.1 静态随

45、机存储器(静态随机存储器(SRAM)注:上述框图的双向注:上述框图的双向注:上述框图的双向注:上述框图的双向箭头表示一组可双向箭头表示一组可双向箭头表示一组可双向箭头表示一组可双向传输数据的导线,它传输数据的导线,它传输数据的导线,它传输数据的导线,它所包含的导线的数目所包含的导线的数目所包含的导线的数目所包含的导线的数目等于并行输入等于并行输入等于并行输入等于并行输入/输出输出输出输出数据的位数。数据的位数。数据的位数。数据的位数。7.3.1 静态随机存储器(静态随机存储器(SRAM)*总之,一个总之,一个RAM有三根线:有三根线:地址线是单向的,它传地址线是单向的,它传送地址码(二进制),

46、以便按地址访问存储单元。送地址码(二进制),以便按地址访问存储单元。数数据线是双向的,它将数据码(二进制数)送入存储矩阵据线是双向的,它将数据码(二进制数)送入存储矩阵或从存储矩阵读出。或从存储矩阵读出。读读/写控制线传送读(写)命令,写控制线传送读(写)命令,即读时不写,写时不读。即读时不写,写时不读。图图图图7.3.27.3.2为为为为1024410244位的位的位的位的RAM2114RAM2114的工作原理图的工作原理图的工作原理图的工作原理图7.3.1 静态随机存储器(静态随机存储器(SRAM)图图7.3.2A9地址译码器:地址译码器:10根地址线根地址线A0A9,分,分2组,组,6根

47、行地址根行地址输入线输入线A8A3加到行地址译码器上,其输出为加到行地址译码器上,其输出为2664根根行地址输出线行地址输出线X0X63;4根列地址输入线根列地址输入线A2A0、A9加到列地址译码器上,译出加到列地址译码器上,译出24 16列地址输出线,其列地址输出线,其输出信号从已选中一行里挑出要读写的输出信号从已选中一行里挑出要读写的4个存储单元,个存储单元,即每个字线包含即每个字线包含4位位I/O1 I/O4。7.3.1 静态随机存储器(静态随机存储器(SRAM)逻辑符号如图逻辑符号如图7.3.3所示所示图图7.3.3其中:其中:存储单元:存储单元:64644096,排列成排列成64行和

48、行和64列的矩阵列的矩阵*I/O1 I/O4:数据输入:数据输入端也是数据读出端。读端也是数据读出端。读/写操作是由写操作是由 R/W 和和 CS 控制的。控制的。*读读/写控制:当写控制:当 CS 0,R/W 1时,为读出状态,时,为读出状态,存储矩阵地数据被读出,数据从存储矩阵地数据被读出,数据从I/O1 I/O4输出。当输出。当CS 0,R/W 0时,执行写入操作,时,执行写入操作,I/O1 I/O4上上的数据写入到存储矩阵中。的数据写入到存储矩阵中。7.3.1 静态随机存储器(静态随机存储器(SRAM)若若CS 1,则所有的,则所有的I/O端都处于禁止状态,将存储端都处于禁止状态,将存

49、储器内部电路与外部连线隔离,此时可以直接把器内部电路与外部连线隔离,此时可以直接把I/O1 I/O4与系统总线相连,或将多片与系统总线相连,或将多片2114的输入的输入/输出端并联输出端并联使用。使用。如:如:A9A2A0=0001,A8A3=111110时,则时,则Y1=1,X62=1,这样可这样可对它们交点对它们交点D4D1进行读写操作。进行读写操作。*存储矩阵:存储矩阵:存储矩阵:存储矩阵:21142114中有中有中有中有6464行行行行(164)164)列列列列40964096个存个存个存个存储单元,每个存储单元都是由储单元,每个存储单元都是由储单元,每个存储单元都是由储单元,每个存储

50、单元都是由6 6个个个个NMOSNMOS管组成,其管组成,其管组成,其管组成,其示意图如图示意图如图示意图如图示意图如图7.3.47.3.4所示。所示。所示。所示。7.3.1 静态随机存储器(静态随机存储器(SRAM)二、二、二、二、SRAM SRAM的静态存储单元的静态存储单元的静态存储单元的静态存储单元 静态存储单元静态存储单元是在静态触发器的是在静态触发器的基础上附加门控管基础上附加门控管而成,它是靠触发而成,它是靠触发器的自保持功能存器的自保持功能存储数据的。储数据的。图图7.3.5是由六是由六只只N沟道增强型沟道增强型MOS管组成的静管组成的静态存储单元。态存储单元。1.MOS管构成

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 包罗万象 > 大杂烩

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服