收藏 分销(赏)

光刻机深度:筚路蓝缕寻光刻星火.pdf

上传人:Stan****Shan 文档编号:1292272 上传时间:2024-04-22 格式:PDF 页数:61 大小:3.38MB
下载 相关 举报
光刻机深度:筚路蓝缕寻光刻星火.pdf_第1页
第1页 / 共61页
光刻机深度:筚路蓝缕寻光刻星火.pdf_第2页
第2页 / 共61页
光刻机深度:筚路蓝缕寻光刻星火.pdf_第3页
第3页 / 共61页
光刻机深度:筚路蓝缕寻光刻星火.pdf_第4页
第4页 / 共61页
光刻机深度:筚路蓝缕寻光刻星火.pdf_第5页
第5页 / 共61页
点击查看更多>>
资源描述

1、中航科技电子团队2023年9月8日行业评级:增持光刻机深度:筚路蓝缕,寻光刻星火核心观点光刻为IC制造核心工艺,光刻技术的演进成就了摩尔定律。光刻工艺占IC制造1/2的时间+1/3的成本,在瑞利公式:=1/的指导下,人类在缩短波长,增大数值孔径NA,降低工艺因子1三个方面展开探索,目前已实现13.5nm波长与达物理极限的1,正在向0.55NA EUV迈步。为了实现进一步制程微缩,业界多采用多重曝光工艺,但对光刻机的套刻精度、图形畸变、稳定性有更高的要求。10nm及以下时,ArFi+多重曝光的复杂度急剧上升,经济性下降,EUV的出现使摩尔定律得以延续。光刻机由三大核心系统,数万个零件组成,是产业

2、链各环节顶尖公司通力合作的成果。1)光源方面,DUV采用准分子激光器,技术掌握在Cymer和Gigaphoton手中,国内科益虹源打破垄断;EUV光源是通过高功率CO2激光器轰击Sn滴而来,高功率激光器为核心组件。2)光学系统是光刻机分辨率成像的保证,由照明系统和物镜系统构成,照明系统优化成像过程,实现分辨率增强;投影物镜系统将掩模图形聚焦成像,ZEISS为ASML关键光学元件独供商,国内技术水平仍有较大差距。3)双工件台系统有效提高了光刻精度与效率,国内华卓精科和清华大学团队走在前列。光刻重要性愈显,国内亟待01的突破。半导体行业十年翻倍,晶圆厂积极扩产,叠加芯片性能升级,光刻强度上升,预计

3、5nm逻辑芯片的光刻支出占比达35%,光刻工艺的重要性愈发凸显,市场规模快速增长,预计2024年有望达230亿美元,ASML在高端市场一枝独秀。2022年中国大陆光刻机进口约40亿美元,主要从日本、荷兰进口,出口管制下光刻机存在断供隐忧,自主可控势在必行。依托举国之力,汇聚各科研院之所长,目前已有阶段性成果陆续落地。光刻机产业化渐近,零部件投资先行,我们测算国内零部件市场空间约150亿元,市场空间大、技术关键性强。建议关注:1)光刻机零部件:福晶科技、奥普光电、茂莱光学、福光股份、炬光科技、腾景科技、苏大维格、华卓精科(未上市)等;2)光刻机周边配套:美埃科技、蓝英装备、芯源微、微导纳米等;3

4、)光刻机相关材料:华懋科技、彤程新材、清溢光电、龙图光罩(未上市)等。风险提示:国产光刻机研发及落地不及预期;需求疲软,晶圆厂扩产不及预期;竞争加剧的风险;出口管制进一步加剧的风险。pOvMmOmPmNqRsOoOvMrQmMaQ9RaQmOrRnPsRkPmMwPeRrQrR6MoPtPuOsRpOwMmPpO全文思路光刻机行业深度:筚路蓝缕,寻光刻星火一、光刻技术演进1.1光刻为芯片制造的核心工艺,光刻机乃人类智慧的集大成者1.2瑞利公式指导,、NA、1不断演进1.3多重曝光辅助实现制程微缩1.4全球光刻机发展史 二、光刻机构成2.1 光刻机结构总览2.2光源系统:从汞灯到准分子激光器到E

5、UV光源2.3光学系统:高分辨率成像的保证2.3.1照明系统:优化成像过程,实现分辨率增强2.3.2 物镜系统:光刻机内的超精密光学之最2.4双工件台:精密运动,增质提效三、光刻产业趋势3.1产业大势:下游需求旺盛,芯片性能升级,光刻强度上升3.2他山之石:深度绑定上下游,打造ASML生态圈3.3国内现状:谋国产宏图,众院所齐发力,曙光初现四、建议关注4.1光刻机零部件技术关键性强、市场空间大、国产替代有望提速4.2产业链标的梳理五、风险提示5.1风险提示国内光刻机产业链部分上市标的梳理资料来源:各公司公告,ifind,中航证券研究所(取2023年9月6日收盘价)代码公司名称产业链供应情况所属

6、系统光刻机领域亮点当前市值(亿元)23年PE(一致)24年PE(一致)002222.SZ福晶科技(有望提供)精密光学元件、晶体光学系统中科院福建物构所控股,曾间接供应ASML。子公司“至期光子”聚焦纳米精度的超精密光学元件制造及复杂光机组件的研发131.35545002338.SZ奥普光电(有望提供)光栅编码器双工件台系统中科院长光所控股,国内高端光栅编码器龙头,布局超精尺,理论上可用于光刻机87.84331688502.SH茂莱光学DUV光学透镜光学系统公司研发的DUV光学透镜已应用于SMEE国产光刻机中,公司半导体检测设备光学模组供货KLA114.8178134688010.SH福光股份(

7、有望提供)高精密光学镜头光学系统公司是国内航天级光学镜头的重要供应商,募投项目布局超精密光学加工,有望为光刻机等领域提供高精密光学镜头及光学系统115.87554688376.SH美埃科技洁净室关键设备周边配套为SMEE开发28nm光刻机提供EFU(超薄型设备端自带风机过滤机组)及ULPA(超高效过滤器),也是SMIC、STM、Intel等的供应商51.32921301421.SZ波长光电(有望提供)平行光源系统周边配套公司成功开发了光刻机平行光源系统,可用于国产光刻机领域配套,并已交付多套系统用于接近式掩膜芯片光刻工序。公司具备提供光刻机配套的大孔径光学镜头的能力,激光检测和测量相关产品进入

8、半导体光刻领域配套检测产业106.7/688167.SH炬光科技光场匀化器光学系统(照明系统)西安光机所投资,供货给世界顶级光学公司并最终应用于ASML核心设备,同时也应用于国内主要光刻机研发项目和样机中88.66547688195.SH腾景科技(有望提供)合分束器光学系统(照明系统)公司应用于光刻机光学系统的合分束器处于样品验证阶段52.56746300331.SZ苏大维格光栅尺工件台向SMEE提供定位光栅部件,公司光栅尺周期精度小于1nm,且公司纳米压印技术国内领先65.43026300293.SZ蓝英装备精密清洗解决方案周边配套公司位于瑞士的二级子公司SECH为ZEISS用于芯片制造的镜

9、头及半导体生产提供精密清洗设备;为ASML提供精密清洗解决方案44.0/300456.SZ赛微电子MEMS反射转镜光学系统(照明系统)子公司Silex为全球光刻龙头公司提供微镜系统,是该公司微镜的主供171.9600143一、光刻技术演进:一场成就摩尔定律的逐光之旅二、光刻机的构成:三大核心,数万个零件目 录四、建议关注:光刻机产业化渐近,零部件投资先行三、光刻产业趋势:光刻重要性愈显,举国之力,寻光刻星火五、风险提示1.1 光刻工艺:芯片制造的核心工艺资料来源:Litho wiki,ASML,中航证券研究所集成电路制造流程复杂,光刻为其中关键一环。光刻(Lithography)是指在特定波长

10、光线的作用下,将设计在掩膜版上的集成电路图形转移到硅片表面的光刻胶上的技术工艺。为了完成图形转移,需要经历沉积、旋转涂胶、软烘、对准与曝光、后烘、显影、坚膜烘焙、显影检测等8道工序,检测合格后继续进行刻蚀、离子注入、去胶等步骤,并视需要重复制程步骤,建立芯片的“摩天大楼”。光刻核心地位:1/2的时间+1/3的成本。随着芯片技术的发展,重复步骤数增多,先进芯片需要进行20-30次光刻,光刻工艺的耗时可以占到整个晶圆制造时间的40%-50%,费用约占芯片生产成本的1/3。图:集成电路制造的主要流程图:一般光刻工艺的基本步骤投影式光刻机半导体光刻机前道工艺:芯片制造用光刻机荷兰ASML、日本Niko

11、n、日本Canon后道工艺:先进封装用光刻机美国Rudolph、日本ORC、中国SMEEFPD光刻机中高世代线用日本Nikon、日本Canon、中国SMEE1.1 光刻机:半导体工业皇冠上的明珠,人类智慧的集大成者资料来源:SEMI,Gartner,芯碁微装招股书,中航证券研究所曝光设备应用广泛,光刻机通常指用于芯片前道工艺的光刻设备。泛半导体光刻技术可分为直写光刻和掩模光刻,直写式光刻精度较低,多用于IC后道封装、低世代线平板显示、PCB等领域;掩模光刻目前的主流形式为投影式,光刻精度高,可用于IC制造的前道工艺、后道先进封装和中高世代线的FPD生产。光刻机单机价值量高,孕育千亿市场空间。2

12、022年全球晶圆前道设备销售941亿美元,光刻机占17%,是IC制造的第三大设备,但却是单机价值量最大的设备。据ASML财报测算,2022年单台EUV价格约1.8亿欧元,浸没式DUV约6500万欧元。图:2022年各类晶圆制造前道设备市场占比图:投影式光刻机分类1.2 光刻原理:瑞利公式指导,不断追求最优参数资料来源:林本坚院士:111年中央研究院知识飨宴,研之有物,EETOP,ASML,中航证券研究所瑞利第一公式:=/,光源波长、数值孔径、光刻工艺系数共同决定投影式光刻机分辨率。通过不断改进、1、三个参数,35年间分辨率降低两个数量级。其中 为光源波长,从汞灯光源436nm g-line 到

13、极紫外光源 13.5nm EUV,追求极致光源是光刻机历史演进的主要方向。1是工艺因子,ASML认为其物理极限在0.25。是指物镜的数值孔径,=n sin,其中 n 为介质折射率,为镜头聚焦至成像面的角度。瑞利第二公式:=2/,焦深限制了NA的无限扩大。DoF焦深是指硅片沿光路移动时,能保持曝光成像质量的距离,焦深越大层间误差越小。随着光源波长逼近极限,目前降低分辨率的主要方法为增大数值孔径,但需要和DoF折中考虑。图:工艺节点与光源波长及NA的关系图:光线通过透镜系统聚焦成像示意图1.2 光刻技术演进:,向更短光源波长冲刺资料来源:芯思想研究院,华经产业研究院,ASML,中航证券研究所光刻机

14、世代衍变,缩短光源波长是核心。光刻机历经五代,波长从436nm缩小约30倍,达到13.5nm,对应节点从m级升级到最先进的3nm,光源波长的缩短支撑了摩尔定律的发展,同时摩尔定律对芯片性能、成本的追求又催动光刻机在分辨率、加工效率等方面不断进步,相互实现。光源演进:20世纪六七十年代,接触式光刻技术被用于IC制造的初期,采用可见光作为光源;80年代改用高压汞灯产生的紫外光(UV),g线和i线是紫外光中能量较高的谱线,365nm的i-line可将最高分辨率推动至220nm;80年代中期,IBM/Cymer等公司开始研发深紫外(DUV)准分子激光,最高分辨率降低至KrF(110nm)和ArF(65

15、nm),采用ArF光源的第四代光刻机是目前应用最广泛的一代。随着工艺节点发展到7nm及以下,20世纪初期产业联合研发第五代EUV光刻机,使用13.5nm的极紫外光,比DUV光短14倍以上。表:光刻机世代衍变图:工艺节点与光源波长的关系技术阶段光源波长对应设备工艺节点第一代汞灯光源g-line436nm接触式/接近式光刻机600nm第二代i-line365nm500-250nm(最高220nm)第三代深紫外线光源DUVKrF248nm扫描投影式光刻机250-130nm(最高110nm)第四代ArF193nm步进扫描投影式光刻机130-65nm/真空紫外线VUVF2157nm65nm第四代深紫外线

16、光源DUVArFi等效134nm浸没式步进扫描投影式光刻机45-14nm第五代极紫外线光源EUV13.5nm极紫外光刻机14nm及以下1.2 光刻技术演进:NA,增大物镜直径+浸没式另辟蹊径光源迭代速度放缓,high-NA是当前尖端光刻机的研发重点。缩短光源波长是提高分辨率最直接的方法,但光源发展到ArF(193nm)时,下一代光源推进速度放缓,巨头开始将目光转向提高数值孔径,并出现了F2(光源演进)与ArF+immersion(增大NA)的路线之争。=,增大NA的两个方法:1)增加投影物镜的直径,使更多的衍射光被收集并聚焦在晶圆表面,从而提高数值孔径。但当线宽小于65nm时,由于射出投影物镜

17、的光角度太大(接近水平),加上折射效应,光线无法聚焦,该方法失效;2)浸没式光刻:在投影物镜和晶圆间加水,从而增大介质折射率(193nm波长激光中,空气=1,水=1.44,玻璃1.5),实现等效波长为193/1.44=134nmF2(157nm),且系统升级更便捷,浸没式DUV脱颖而出。目前NA最大为1.35(ASML的湿法DUV),EUV光刻机正在从0.33NA向0.55NA突破。图:加大投影物镜直径提高数值孔径线宽再度变小继续增大物镜直径资料来源:林本坚院士:111年中央研究院知识飨宴,研之有物,EETOP,ASML,中航证券研究所图:浸没式光刻技术原理简析曝光镜头模组晶圆供液回收液1.2

18、 光刻技术演进:,RET推动工艺因子突破物理极限资料来源:韦亚一超大规模集成电路先进光刻理论与应用,华中科技大学:刘世元教授,中航证券研究所工艺因子包含了光刻工艺中对分辨率影响的诸多因素,半导体工程师致力于优化缩小该参数。光照条件的设置、掩模版设计以及光刻胶工艺等因素对分辨率的影响都反映在1因子中,1因子也常被用于评估光刻工艺的难度,在批量生产时,为了保证工艺稳定性和良率,一般要求 1大于0.3,ASML认为其物理极限在0.25,1体现了各家晶圆厂运用光刻技术的水平。RET帮助突破传统衍射极限。RET(分辨率增强技术)是指对掩模和光照系统做改进,实现最大共同工艺窗口,从而提高分辨率。常见的分辨

19、率增强技术包括离轴照明、光学邻近校正、移相掩模、添加亚分辨率辅助图等方法,通过改变掩模的振幅(OPC法)或相位(PSM法),调整光源入射角度(OAI法)等提高分辨率、增加焦深、改善图形质量,此外也可以用多重曝光技术实现超越光刻机理论分辨率的精度。图:工艺因子k1与各种RET的关系表:部分典型的分辨率增强技术(RET)技术名称应用位置分辨率(k1)意义光学邻近效应校正(OPC)掩模版0.5改善工艺窗口,可与任意其他RET技术配合使用离轴照明(OAI)照明系统0.25为特定周期图形提供最优的照明角度衰减式移相掩模(AttenuatedPSM)掩模版0.5(传统照明下)0.25(离轴照明下)利用千涉

20、效应改普成像保真度;改善OAI的曝光宽容度亚分辨率辅助图形(SRAF)掩模版0.5(传统照明下)0.25(离轴照明下)扩大适用于某种OAI的周期图形范围;降低掩模图形对像差的敏感度交替移相掩模(AlternatingPSM)掩模版0.25利用干涉效应提高成像保真度,可将分辨率提高一倍1.3 曝光方式:从接触/接近式跨越到投影式资料来源:芯碁微装招股书,HSET大族半导体,中航证券研究所初代光刻机采用接触式或接近式,无法兼顾掩模版寿命与分辨率。接触式光刻机基于近场菲涅尔衍射成像原理,是SSI 时代的主要光刻手段,但掩模版和晶圆表面的光刻胶直接接触,容易导致掩模污染与损坏,只能重复使用525次,且

21、图形缺陷多、依赖人工操作,逐渐被取代。接近式光刻机在接触式上发展而来,其原理是在掩模版和晶圆表面间保留2.5m25m间距,避免了掩膜污染,但光通过缝隙会产生衍射,分辨率降低。投影式光刻机既能避免污染又能实现倍缩,成为主流。投影式光刻机基于远场傅里叶光学成像原理,在掩模版与硅片之间引入了物镜系统。1973年,美国Perkin Elmer率先推出第一台投影式光刻机,迅速替代传统接近接触式,70年代后期占据了90%的光刻机市场。表:各类曝光方式的优劣势对比图:接触/接近式、投影式光刻示意图类别曝光方式优势劣势接触式将掩膜与光刻胶直接接触进行曝光 设备简单;曝光出来的图形与掩膜板上的图形分辨率相当。光

22、刻胶容易污染掩膜板;硅片上图形缺陷多,光刻成品率较低;掩模版寿命短(只能使用525次)。接近式掩膜板与光刻胶基底层保留一个微小的缝,实现图形复印曝光 图形缺陷少;有效避免与光刻胶直接接触而引起的掩膜板损伤,掩模寿命长,可提高10倍以上。引入了衍射效应,降低了分辨率。投影式掩膜板与光刻胶之间使用光学系统聚集光实现曝光 分辨率高;掩膜板的制作更容易;掩膜板上的缺陷影响小。工艺制造技术壁垒高;设备复杂、昂贵。1.3 曝光方式:步进扫描投影光刻机满足VLSI世代生产需求资料来源:美Michael、Julian Serda著半导体制造技术,韦亚一超大规模集成电路先进光刻理论与应用,中航证券研究所投影式光

23、刻机发展经历了扫描投影式步进重复式步进扫描式,步进扫描式光刻机兼顾了分辨率与生产效率,延用至今。扫描投影光刻机:利用反射镜系统将整个掩模图形 1:1 投影到晶圆表面,适用于线宽大于1m的非关键层,但制造亚微米级掩模版较难。步进重复光刻机:利用2222mm的典型静态曝光视场(FOV)和缩小比为5:1或4:1的光学投影物镜,将掩模版上的图形光刻到晶圆上。光刻过程中,掩模版固定,晶圆完成完成单次曝光后步进到下一位置重复。1978年GCA推出步进重复投影式光刻机,将分辨率提高到0.5m。步进扫描光刻机:融合了扫描式和步进重复式的优势,光源通过一个狭缝照射在掩模版上,掩模版沿一个方向移动时,等效于对掩膜

24、进行扫描,晶圆沿反方向以1/4的速度同步移动,使得FOV增加至2633mm,且单次曝光有机会获得多个芯片,在0.18m及以下的节点广泛使用。图:步进扫描投影式光刻机曝光方式示意图图:步进重复光刻机的曝光场1.3 多重曝光:实现制程微缩的重要手段,对光刻机要求提升多重曝光将原本一层光刻的图形拆分到多个掩模上,利用光刻Litho和刻蚀Etch实现更小制程。1.35NA的浸没式DUV分辨率约38nm,单次曝光能满足28nm逻辑节点,在2015年EUV光刻机量产之前,台积电最先进制程已发展到16/12nm,实现手段便是多重曝光技术。常见的技术有双重曝光(DE)、固化双重曝光(LFLE)、双重光刻(LE

25、LE)、三重光刻(LELELE)、自对准双重成像(SADP)、连续两次SADP(SAQP)等。双重光刻(LELE):将光刻设计版图拆分在两块掩模上,第一次光刻使用第一块掩模版,光刻+刻蚀,将光刻胶上的图形转移到硬掩膜1(通常是CVD生成的无机薄膜材料);再旋涂光刻胶,使用第二块掩模版完成二次光刻+刻蚀,将第二次光刻胶上的图形转移到硬掩膜2,从而硬掩膜结合了两次光刻的图形,再进行一次刻蚀,将图形转移到衬底上。LELE工艺要求严格控制两次曝光的相对位置,套刻误差会直接影响线宽及均匀性,对光刻机的对准系统提出更高要求,且要求光刻机有更小的图形畸变、更好的图像质量和更高的稳定性。图:LELE(双重光刻

26、)工艺示意图资料来源:Lam Research,韦亚一超大规模集成电路先进光刻理论与应用,中航证券研究所图:LELE工艺第二次曝光套刻误差对周期移动的影响硬掩模层1硬掩模层2第一次光刻 刻蚀硬掩模层1 第二次光刻 刻蚀硬掩模层2 刻蚀最终图形 衬底光刻胶1.3 多重曝光:实现制程微缩的重要手段,对光刻机要求提升自对准双重成像(SADP):单次光刻,利用沉积和多次刻蚀实现光刻图形的空间倍频。在衬底表面沉积牺牲层(一般是CVD材料),光刻+刻蚀,将掩模版的图形转移到牺牲层,形成mandrel 芯轴;ALD技术在芯轴表面和侧面沉积厚度均匀的薄膜(spacer材料),再利用反应离子刻蚀工艺将沉积在表面

27、的spacer材料刻蚀掉,由于芯轴侧壁的几何效应,两侧材料会残留;接着选择强腐蚀液移除芯轴,此时spacer图形的周期是光刻图形的一半,最终再刻蚀将图形转移至衬底。相较于LELE方案,SADP对套刻误差的容忍度更高。SADP的难度在于对光刻、刻蚀、沉积等工艺做集成,需要设计版图符合一定规则,存储单元由规则密集线条构成,尤其适合采用SADP方案。图:自对准双重成像技术(SADP)工艺示意图资料来源:Lam Research,TEL,中航证券研究所图:SAQP技术进一步缩小间距光刻 刻蚀芯轴 原子层侧墙沉积 移除芯轴 刻蚀最终图形牺牲层硬掩模层衬底BARCMandrelspacer光刻胶1.3 多

28、重曝光:EUV大幅降低多重曝光的复杂性和成本资料来源:ASML,中航证券研究所当制程微缩至10nm及以下时,浸没式DUV多重曝光的工艺复杂度急剧上升。ArFi+双重曝光广泛用于22/20/16/14nm,三重或多重光刻技术可达到10nm甚至7nm。TSMC第一代7nm工艺N7便是用浸没式DUV+多重曝光实现的,但大幅增加了光刻、刻蚀、沉积等工艺的使用,对工艺整合的挑战巨大,也增加了良率损失的风险。假如完全采用浸没式DUV实现7nm,需要进行34步光刻工艺及59-65步的对准套刻;作为对比,完全采用EUV实现7nm,仅需要9步光刻及12步关键对准套刻,且成像质量更高,三星表示用EUV能减少超过2

29、0%的相对缺陷。EUV的出现使得摩尔定律得以继续发展。相较多重曝光,EUV能降低15%-50%的成本,缩短3-6x的周期时间,使产品更快量产。对于5nm制程,浸没式DUV难以实现且不具备经济效益。在实际生产中,各layer采用工艺不完全相同,往往是EUV、浸没式DUV与多重曝光技术结合使用。图:不同节点采用浸没式DUV进行多重曝光的工艺复杂度节点28nm20nm10nm7nm all immersion7nm all EUV光刻工艺steps6823349对准套刻steps79-1136-4059-6512图:EUV大幅减少单层图形化过程的工艺步骤数1.4 光刻机历史:由美转日终至荷,两次突破

30、成就光刻巨人1957-1959光刻工艺的提出 仙童半导体提出光刻工艺1m时代 美国GCA推出第一台重复曝光光刻机1961接触式,Zeiss入局 Kasper成立,生产接触式光刻机 Zeiss始供曝光镜头19681970Canon入局 日本佳能开始研发接触式光刻机1973投影式光刻机 Perkin Elmer推出首台投影式光刻机0.5m,步进重复式 GCA推出首台自动化步进投影光刻机1978步进扫描式,Nikon入局 美国SVGL推出第一台步进扫描投影光刻机 尼康销售NSR-1010G1980ASML成立 飞利浦与ASMI合资成立ASML 推出PAS20001984Perkin Elmer的光刻

31、部门出售给SVGLi-line,ASML打开市场 推出PAS5500,通过IBM测试,并取得IBM、三星订单1991 ASML推出首台双工件台光刻机TWINSCAN AT:750T KrF光源,生产130nm12英寸,KrF,双工件台20011995年ASML上市ASML收购SVGL ASML与台积电共同研发,推出首台浸没式光刻机 AT:1150i 尼康F2光源demo完成ArF,浸没式光刻推出2003 ASML推出1.35NA浸没式光刻机XT:1900i,实现45nm及以下工艺 尼康F2方案被抛弃ASML成为全球霸主2007 ASML推出首个NXT平台光刻机NXT:1950i,更轻更快更精

32、使多重曝光更具经济效益多重曝光,双工件台升级2008 ASML在IMEC洁净厂房内造出首台概念性EUV样机NXE:3100,交付TSMCEUV,ASML王者之路2010 2015年ASML的EUV光刻机开发至可量产状态 ASML第四代EUV NXE:3400B获得批量订单EUV实现量产并批量出货2015-2016 ASML与Zeiss合作开发0.55 NA EUV EXE:5000,预计2023年发货,2025年量产High-NA,EXE平台2023E,TBC资料来源:ASML官网,陈宝钦光刻技术六十年,余盛著芯片战争,美Michael、Julian Serda著半导体制造技术,中航证券研究所

33、整理注释:粉色表示关键技术;黄色为主要公司;蓝色为ASML发展。一、光刻技术演进:一场成就摩尔定律的逐光之旅二、光刻机的构成:三大核心,数万个零件目 录四、建议关注:光刻机产业化渐近,零部件投资先行三、光刻产业趋势:光刻重要性愈显,举国之力,寻光刻星火五、风险提示2.1 光刻机组成:三大核心,数万个零件资料来源:ASML,Cymer官网,中航证券研究所(注:以下一代浸没式DUV设备TWINSCAN NXT:2100i为例)光刻机性能的三大评价指标:分辨率(CD)、套刻精度(overlay)、和产率(throughput,wph),三大核心壁垒:光源、光学、工件台。光刻机集精密光学、机械和控制、

34、材料等众多最尖端技术于一身,主要包括光源系统、照明系统、投影物镜系统、双工件台系统、以及传输系统(光罩+晶圆)、调平调焦系统、对准系统等;同时需要极严苛的环境控制、整机控制以及整机软件分析系统。图:光刻机的整体结构资料来源:半导体行业观察,于新峰高数值孔径光刻投影物镜成像理论及像质补偿和检测技术研究,中航证券研究所表:光刻机的主要分系统分系统作用光源系统为光刻机提供曝光能量,光刻机的核心部件之一。照明系统对激光的扩束、高均匀高强度均匀照明,并提供特定照明方式;主要包括传输光路,光束矫正器、光束整形、能量探测与计量控制、照明均匀器、掩模光阑等。投影物镜系统由2030块镜片组成,把掩膜版上的电路图

35、按比例缩小,再投影到硅片上,并且可以补偿各种光学误差。工件台和掩模台分系统实现掩模-硅片的同步扫描、步进运动、对准扫描、执行调平调焦、协助硅片下片等。调平调焦分系统通过调整硅片台的六个自由度,保证曝光场在所要求焦深 范围内,进而保证曝光质量。掩模与硅片对准分系统将掩模上的图像和晶圆上已有的图形对准,以保证曝光后图像之间的准确套刻。硅片传输与预对准系统分系统将硅片从片盒传送到工件台,并完成机械预对准和光学预对准,使硅片与机器坐标系初步对准,并进入到对准系统范围内,再将已曝光的硅片从工件台传送回片盒。整机环境分系统将工作台与外部环境隔离,保持水平,减少外界振动干扰,并控制温度、压力、湿度等。包括封

36、闭框架、减震装置等。图:光刻机内部结构简化图投影式光刻机由数万个零部件构成,是产业链各环节顶尖公司通力合作的成果。光刻机制造难度很高,以一台ASML EUV光刻机为例,由来自全球近800家供应商的多个模块和数十万个零件组成,每个模块在ASML遍布全球的工厂中生产,再运往荷兰总部组装。国内上海微电子90nm的干法DUV光刻机,也包括13个分系统,3万个机械件,200多个传感器,且要求每一个都稳定,存在高壁垒。2.1 光刻机组成:三大核心,数万个零件保温膜阳极灯头阳极泡壳汞阴极保温膜阴极灯头2.2 光源系统:高压汞灯作为初代光刻机光源资料来源:OSRAM官网,ASML,中航证券研究所高压汞灯工作原

37、理:其结构为橄榄形玻璃外壳,壳内有密封的放电管,管内有两个相对的金属电极,并充有汞和氩。汞灯工作时,初始启动是低压汞蒸气和氩气放电;随后放电产生的热量使汞蒸气升压,电弧收缩,高压汞蒸气产生电离激发,形成放电管中的电子、原子和离子间碰撞而发光。高压汞灯主要辐射范围为254579nm谱线。使用滤波器可以选择性的使用 i-line(365nm)或g-line(436nm)作为第一、二代光刻机的光源。高压汞灯适用于PCB、或LCD全、半自动曝光设备及半导体光刻等设备,一般用于平行曝光系统。图:光谱图图:高压汞灯光源示意图2.2 光源系统:准分子激光器探索深紫外光谱资料来源:江锐准分子激光光刻光源关键技

38、术及应用,Cymer官网,中航证券研究所准分子激光器工作原理:准分子(Excimer)是一种在激发态结合为分子,在基态离解为原子的亚稳态分子,从束缚的激发态到排斥的基态会发生激光跃迁。准分子激光器是最重要的紫外和深紫外波段的激光光源,是一种辐射脉宽为几十纳秒的紫外气体激光器,其波长取决于所使用的气体。准分子激光技术始于上世纪60年代,先后实现了Kr2/Ar2/XeF/KrF/ArF/XeCl等激光辐射,由于其具有光子能量高、波长短等优势,被广泛用于光刻、工业加工、医疗、科研等领域,其中以预电离放电泵浦的ArF(193nm)、KrF(248nm)准分子激光器被用于光刻领域。光刻机光源壁垒高,国内

39、科益虹源打破垄断。全球能生产光刻用高重频准分子激光器的公司仅有美国Cymer、日本Gigaphoton,Cymer 2013年被ASML收购,目前占据了光刻机光源80%以上的市场。我国中科院微电子所控股、亦庄国投、华为哈勃投资的科益虹源,是国内唯一、全球第三家从事光刻准分子激光技术全链条研发和产业化的公司,193nm ArF准分子激光器完成出货,打破海外垄断。表:Cymer产品及部分参数图:ArF 准分子激光势能原理图产品名称 发射波长腔室输出功率光谱宽度调节ArFImmersionXLR 700IX193 nmDual Recirculating Ring60-90W300+/-5 fm w

40、afer average with DynaPulseXLR 800IX193 nmDual Recirculating Ring60-120W300+/-5 fm wafer average with DynaPulseXLR 900IX193 nmDual Recirculating Ring60-90W300+/-2 fm wafer average with DynaPulseArF DryXLA 105HP 193 nmDual MOPA45W1m,直径0.4m,物镜内各种镜片的数量15片,典型的投影物镜包含近30块镜片,60个光学表面,最大直径达0.8m。投影物镜加工壁垒极高,仅极

41、少数国际顶级光学公司掌握,ZEISS是ASML关键光学元件的独家供应商。顶级单反相机镜头加工产生的像差在200nm以上,而ASML的ArF DUV投影物镜像差在2nm内。高端光刻机镜头的价值量接近0.6亿美元,成本占比大。图:ASML投影物镜与高端单反镜头像差对比2.3.2 物镜系统:光刻机内的超精密光学之最资料来源:ASML,ZEISS官网,中航证券研究所图:物镜系统通过各种透镜组合修正成像质量波像差是衡量投影物镜性能的重要指标。波像差是指实际波面与理想波面在出瞳处相切或相交时的光程差,波像差的存在严重影响成像质量,物镜系统设计的关键在于缩小或修正波像差。但波像差与高数值孔径之间相互矛盾和约

42、束,随着NA增加,像差校正更加困难。增大NA并减少像差的主要方法:采用非球面元件、浸没式装置、引入反射元件。193nm DUV投影物镜的发展经历了三次技术飞跃:1)采用非球面元件,起初为全球面结构,NA0.7时,需要引入非球面镜,目前先进ArF光刻机中有十几片非球面镜。非球面镜的引入使物镜系统元件口径保持在合理范围,最终进化为“单腰”结构。反射折射式光学系统将NA推高至1.35。干式DUV的NA极限为1,实际产品可以做到0.93,通过浸没式可以将NA提升至1.07,但NA1.2时,传统的折射镜头将不堪重负,NA每增加0.1,透镜需要增加34片。反射镜有正光焦度和负值场曲,可以不依赖传统的“腰肚

43、”结构,使用较少数量、较小口径的光学元件满足对场曲的校正。2.3.2 物镜系统:折反式镜头是大NA浸没式的必然选择图:ZEISS浸没式光刻机折反式光学系统的4种设计结构资料来源:伍强现代光刻机的发展历程与未来展望,中航证券研究所图:折射式投影物镜的发展过程EUV物镜系统为全反射结构,抛光和镀膜为关键工艺。EUV光波长13.5nm,极易被材料(包括空气)吸收,必须在真空条件下运行,且照明系统和投影物镜系统均为全反射镜片。其中EUV物镜系统由6片布拉格反射镜组成,重约2吨,共2万个parts。反射镜表面镀有Mo/Si多层膜结构,最高有100层堆叠,通过多层膜实现更高的反射效率,ZEISS与Frau

44、nhofer IOF研究所共同研发独特的镀膜系统,使反射率达到70%。严苛的光学精度要求,ZEISS遥遥领先,国内茂莱光学正在发力。由于全反射系统设计要求光束相互避让,误差容忍度低,对光学元件加工的要求非常高。新一代EUV光刻机的反射镜的面形精度为PV0.12nm,表面粗糙度30pm,原子级别的光洁度,全球仅ZEISS能达到。国内茂莱光学PV30nm,表面面形RMS5nm,表面粗糙度0.5nm,供货上海微电子,应用于 I 线光刻机物镜中。2.3.2 物镜系统:EUV光刻机采用全反射镜片资料来源:ZEISS,ASML,茂莱光学招股书,中航证券研究所EUV光源反射镜1反射镜2反射镜3反射镜5关键指

45、标指标含义技术水平对比ZEISS茂莱光学面形精度指实际曲面与理想曲面的差,表征面形精度的指标有多种,如:峰谷值PV,是指在取样范围内(基于 2D 轮廓线或者 3D 数据地图),去除基准理想面后,最高点和最低点之间的高度差,值越小越好。PV0.12nm,RMS0.2nmPV30nm,RMS测量和校正的时间,因此双工件台的设计为测量工序预留了更多时间,可以做更复杂的测量而不影响产能。单工件台产能很难超过100wph,而ASML领先的光刻机(如2100i)产率将超过295wph。2)双工件台更能适应浸没式光刻的需求,避免了物镜系统与硅片间的水膜影响硅片测量的准确性,提升了光刻精度。图:ASML双工件

46、台系统的工作流程示意图2.4 双工件台系统:精密运动,增质提效资料来源:ASML,刘志帆深紫外光刻机照明系统关键检测技术研究,中航证券研究所图:ASML的双工件台系统测量平台曝光平台工件台是基于“宏动+微动”复合结构的六自由度运动台。由吸盘模块、驱动模块、导向模块、位置测量模块和运动控制模块组成,承片台上有真空吸盘用于固定硅片,宏动模块是承载微动模块的运动装置,主要完成长行程运动,微动模块实现纳米精度的运动,共同完成硅片的定位和传输,超精密位移测量系统负责实时高精度位置测量和反馈。双工件台的核心指标直接影响整机性能,国内华卓精科和清华大学团队走在前列。MA(移动平均偏差)影响光刻分辨率,MSD

47、(移动标准偏差)影响套刻精度,速度、加速度、机台稳定时间等影响产率。CD为38nm的光刻机要求MA在1nm以内,MSD在7nm左右,ASML的载物台加速度可达5g。2016年清华大学朱煜团队研发的双工件台样机通过02专项验收(MA为1.5nm,MSD为2.6nm)且通过华卓精科已向SMEE出货。图:步进扫描投影光刻机工件台示意图2.4 双工件台系统:精密运动,增质提效资料来源:华卓精科招股书,刘杨面向IC光刻的超精密运动台控制技术,中航证券研究所图:华卓精科纳米精度运动及测控系统生产工艺流程干涉镜直线电机扫描方向直线电机步进方向六自由度微晶精密检测仪2008年工件台技术飞跃,实现更轻的质量、更

48、快的速度和更高的精度。第一代双工件台AT、XT系列采用直线电机+气浮导轨+激光干涉仪的方案。2008年,NXT平台采用了创新型材料,并使用磁悬浮平面电机+平面光栅测量技术,生产效率提高30%,适用于ArFi和EUV光刻机中。光栅编码器是双工件台的关键零部件,奥普光电为国内龙头。激光干涉仪的测量精度受环境因素影响,而平面光栅技术测量光路短,对环境不敏感,且工件台上无需长反射镜,质量更轻,动态性能更好,ASML和Nikon的工件台均采用了光栅编码器。ASML的方案中,采用二维光栅作为测量光栅,两个工件台上分别布置4个光栅编码器,具有4个面内测量信息和4个面外垂向测量信息,利用8个位移测量信息得到六

49、自由度位移。奥普光电控股禹衡光学,其光栅编码器广泛用于数控机床、伺服电机、航空航天、重大科研仪器中,市占率居国内同行业之首。2.4 双工件台系统:磁悬浮平面电机+平面光栅资料来源:王磊杰面向浸没式光刻机的超精密光学干涉式光栅编码器位移测量技术综述,伍强现代光刻机的发展历程与未来展望,中航证券研究所图:ASML光刻机平面光栅位移测量系统布局对准系统图:磁悬浮双工件台及定子哈尔巴赫永磁体一、光刻技术演进:一场成就摩尔定律的逐光之旅二、光刻机的构成:三大核心,数万个零件目 录四、建议关注:光刻机产业化渐近,零部件投资先行三、光刻产业趋势:光刻重要性愈显,举国之力,寻光刻星火五、风险提示3.1 下游需

50、求:半导体行业十年翻倍,先进与成熟共振短期下行不改长期趋势,产业规模持续增长。据麦肯锡预测,2030年半导体市场规模约1.1万亿美元,相较于2020年实现翻倍增长,2021-2030年期间市场规模的CAGR为7%,各下游终端均有贡献,其中汽车电子、工业电子增速最快。先进与成熟制程共成长,催生不同类别光刻机需求。一方面,手机和计算机性能跃升,AI对算力需求激增,摩尔定律延续,逻辑芯片向2nm以下工艺演进;另一方面,智能终端里芯片种类丰富,如电源管理等芯片以成熟制程为主,IoT、汽车电子相关芯片也以成熟为主。此外,芯片不同层的精度需求有差异,或采用先进+普通光刻设备搭配使用的方案,各类型光刻机都有

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 研究报告 > 其他

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服