收藏 分销(赏)

七段式数码管简介及其Verilog-HDL-驱动编写.doc

上传人:天**** 文档编号:2609612 上传时间:2024-06-03 格式:DOC 页数:7 大小:105.54KB
下载 相关 举报
七段式数码管简介及其Verilog-HDL-驱动编写.doc_第1页
第1页 / 共7页
七段式数码管简介及其Verilog-HDL-驱动编写.doc_第2页
第2页 / 共7页
七段式数码管简介及其Verilog-HDL-驱动编写.doc_第3页
第3页 / 共7页
七段式数码管简介及其Verilog-HDL-驱动编写.doc_第4页
第4页 / 共7页
七段式数码管简介及其Verilog-HDL-驱动编写.doc_第5页
第5页 / 共7页
点击查看更多>>
资源描述

1、(完整版)七段式数码管简介及其Verilog HDL 驱动编写七段式数码管就是使用七段点亮的线段来拼成常见的数字和某些字母。再加上右下角的小数点.实际上一个显示单元包含了8根控制信号线.abcdefgd图1 七段数码管的显示单元如上图所示,a,b,c,d,e,f,g,h对应8根控制信号线.一般数码管有8个如图1所示的显示单元,称为七段八位数码管。由此引入段码和位码的概念。段码(seg):在本文中段码对应单独的显示单元。或者说段码代表显示单元上显示的具体数值或符号.位码(sel):在本文中位码对应8个显示单元。或者说位码代表相应位置上的显示单元被选通。为什么数码管需要位码呢?因为数码管利用视觉暂

2、留效应让人们觉得每一位上的数字一直保持着发亮。其实各个位上的显示单元是按照顺序交替亮灭的,只是频率比较高,看起来就像是一直亮着的.而位码就是用来按位循环扫描的.段码与显示内容又是怎样对应的呢?这就要说到显示原理了。每一个显示单元中可以被控制亮灭的线段其实是LED发光二极管。他们以共阴极或者共阳极的方式接入。如下图2.1和2.2。abcdefghabcdefgh+5vGnd图2。2 共阳极图2。1 共阴极如果想显示数字0,图1中的线段a,b,c,d,e,f应当点亮,g,h应该熄灭.共阴极接入方式的话,输入端接高电平LED才会亮。abcdefgh对应的电平状态是11111100 .编码时顺序反过来

3、a对应最低位,h对应最高位。所以在共阴极接入方式下,显示数字0对应的段码是00111111,即0x3f;在共阳极接入方式下,显示数字0对应的段码是11000000,即0xc0;图2。1和2.2中的a,b,c,d,e,f, g,h称为段选线。另一边的公共端称为位选线.以此类推,以共阳极接入方式为例,段码与显示的数字对应关系如下表显示数字高电平信号低电平信号二进制编码段码(16进制)0ghabcdef11000000C01bc11111001F92abged10100100A43abgcd10110000B04fbgc10011001995afgcd10010010926afgcde1000001

4、0827abc11111000F88abcdefg10000000809abcdfg1001000090显示字母A,B,C,D,E,F分别对应段码:8h88, 8h83, 8hC6, 8hA1, 8h86, 8h8E;特别的,显示单元全灭对应的段码为:8b1111_1111即是 8hFF;至此已经可以编写驱动程序的一个模块了,就是把显示数字转成段码的模块。先来有一个总体的架构,暂时不对小数点位做处理:Seg7:0clkSeg7x8_driveSel2:0Turn_off7:0Data31:0rst段码seg和位码sel信号由FPGA引脚引出接入数码管,位码只有三位是因为数码管电路中有三八译码器

5、,这样可以节省FPGA的引脚资源。Turn_off是一个特殊控制信号,它使得这个驱动程序功能更丰富.比如DATA以BCD码形式带来的数据是00523467 。出于某种原因我们不想让00显示出来。就可以通过turn_off把数码管的最左边两位“关掉”。Turn_off到底是00111111还是11000000取决于个人偏好了.还要有一个时钟分频模块,因为SEL的扫描频率没必要过高,只要每秒25次循环就可以达到视觉暂留的要求。设计的总体思路是一个分频模块把时钟频率降低,此功能独立作为一个模块.然后根据低频来产生循环扫描的位码,此功能独立作为一个模块。然后根据位码产生段码,位码指到哪一位就判断该位是

6、不是被turn_off关闭,如果没关闭就把BCD码对应位译成段码输出,此功能独立作为一个模块。(SEL是0的时候对应最左边的显示单元,是7的时候对应最右边的显示单元)clkrstclk_dividerstrstData31:0Turn_off7:0Seg7:0Sel2:0Seg7x8_drivedivideselSeg下面用Verilog HDL进行电路描述.首先是divide模块.Clk是50MHZ。即时钟周期是20ns。数码管的位码sel扫描8次算一个循环,每秒至少要25个循环才能形成视觉暂留效应。25x8=200(可以理解为数码管的某一个显示单元亮了25次的时候,位码已经变换过200次了

7、)也就是说位码要在1秒钟内变换200次.秒=5ms=5000us=5x106ns经上面的分析,clk_divide要大于等于5x106ns。但是也不能太大,因为扫描频率过快会使数码管亮度不足甚至根本看不出发亮。取clk_divide=5 x106ns=50x105ns=2。5x20 x105ns=2。5 x105clk。也就是说系统时钟clk要被降低25万倍。分频的思路是用一个计数器,clk走过25万个时钟周期的时候clk_divide走过一个时钟周期.十进制数二进制数000001000120010300114010050101601107011181000观察上表的中二进制数的规律,可以发现

8、。Binary0每隔十进制数值加2循环一次,分别是0,1,0,1Binary1每隔十进制数值加4循环一次,分别是0,0,1,1,0,0,1,1Binary2每隔十进制数值加8循环一次,分别是0,0,0,0,1,1,1,1,0,0,0,0Binaryn每隔十进制数值加2n+1循环一次.而且0和1各占半个周期。由分频模块的分析可知,计数器每次计数到25万的时候,clk_divide循环一次。218=262144.很接近25万,只是取n+1=18的话分频慢了一点,应该也符合设计要求。接下来考虑的问题是取n+1=17可不可以?这样的话clk只被降低了13万倍。分频快了接近一倍,视觉暂留没问题,数码管亮

9、度会不会太低呢?经试验也是没问题的。分频模块module divide(clk,rst_n,clk_divide);input clk,rst_n;output clk_divide;reg 17:0cnt;reg clk_divide;always(posedge clk or negedge rst_n )if(rst_n) cnt=0;else begincnt=cnt+1b1;/clk_divide=cnt17;/cnt17 under the actual situation。clk_divide=cnt2;/cnt2 in the simulation case;endendmod

10、ulesel模块module sel(clk_divide,rst_n,sel,sel_acture);input clk_divide,rst_n;output 2:0sel,sel_acture;reg 2:0sel,sel_acture;always(posedge clk_divide or negedge rst_n)if (rst_n)sel=0;elsebeginsel=sel+1b1;sel_acture=sel;endendmodulesel_acture比sel晚一个时钟周期,把sel作为seg模块的输入,把sel_acture作为数码管的输入。Seg模块module se

11、g(clk_divide,rst_n,sel,data,turn_off,seg);input clk_divide,rst_n;input 2:0sel;input 31:0data;input 7:0turn_off;output reg 7:0seg;reg turn_off_reg;reg 3:0seg_reg;always(posedge clk_divide or negedge rst_n)if(rst_n )seg=8hFF;else if (turn_off_reg)seg=8hFF;else begincase(seg_reg)4h0: seg=8hC0;4h1: seg=

12、8hF9;4h2: seg=8hA4;4h3: seg=8hB0;4h4: seg=8h99;4h5: seg=8h92;4h6: seg=8h82;4h7: seg=8hF8;4h8: seg=8h80;4h9: seg=8h90;4hA: seg=8h88;4hB: seg=8h83;4hC: seg=8hC6;4hD: seg=8hA1;4hE: seg=8h86;4hF: seg=8h8E;default:seg=8hFF;endcaseendalways(sel,turn_off,data)case(sel)3h0:beginturn_off_reg=turn_off7;seg_re

13、g=data31:28;end3h1:beginturn_off_reg=turn_off6;seg_reg=data27:24;end3h2:beginturn_off_reg=turn_off5;seg_reg=data23:20;end3h3:beginturn_off_reg=turn_off4;seg_reg=data19:16;end3h4:beginturn_off_reg=turn_off3;seg_reg=data15:12;end3h5:beginturn_off_reg=turn_off2;seg_reg=data11:8;end3h6:beginturn_off_reg

14、=turn_off1;seg_reg=data7:4;end3h7:beginturn_off_reg=turn_off0;seg_reg=data3:0;enddefault: beginturn_off_reg=0;endendcaseendmodule顶层模块module seg7x8(clk,rst_n,data,turn_off,sel_acture,seg);input clk,rst_n;input 31:0data;input 7:0 turn_off;output 2:0sel_acture;output 7:0seg;wire clk_divide;wire 2:0sel;divide d1(.clk(clk),。rst_n(rst_n),。clk_divide(clk_divide);sel sl(.clk_divide(clk_divide),.rst_n(rst_n),.sel(sel),.sel_acture(sel_acture);seg sg(。clk_divide(clk_divide),.rst_n(rst_n),。sel(sel),.data(data),。turn_off(turn_off),。seg(seg));endmodule

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 考试专区 > 中考

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服