收藏 分销(赏)

国家微电子研究战略(英).pdf

上传人:宇*** 文档编号:2582553 上传时间:2024-06-01 格式:PDF 页数:60 大小:4.30MB
下载 相关 举报
国家微电子研究战略(英).pdf_第1页
第1页 / 共60页
国家微电子研究战略(英).pdf_第2页
第2页 / 共60页
国家微电子研究战略(英).pdf_第3页
第3页 / 共60页
国家微电子研究战略(英).pdf_第4页
第4页 / 共60页
国家微电子研究战略(英).pdf_第5页
第5页 / 共60页
点击查看更多>>
资源描述

1、NATIONAL STRATEGY ON MICROELECTRONICS RESEARCH A Report by the SUBCOMMITTEE ON MICROELECTRONICS LEADERSHIP COMMITTEE ON HOMELAND AND NATIONAL SECURITY of the NATIONAL SCIENCE AND TECHNOLOGY COUNCIL March 2024NATIONAL STRATEGY ON MICROELECTRONICS RESEARCH i NATIONAL SCIENCE AND TECHNOLOGY COUNCIL Cha

2、ir:Arati Prabhakar,Assistant to the President for Science and Technology;Director,White House Office of Science and Technology Policy Acting Executive Director:Kei Koizumi,Principal Deputy Director for Policy,Office of Science and Technology PolicySUBCOMMITTEE ON MICROELECTRONICS LEADERSHIP(SML)SML

3、Co-Chairs:Jason Boehm,DOC Lisa Friedersdorf,OSTP Carl McCants,DOD SML Executive Secretary:Corey Stambaugh,NIST SUBCOMMITTEE ON MICROELECTRONICS LEADERSHIP PARTICIPANTS Office of Science and Technology Policy(OSTP)Lisa Friedersdorf Dana Weinstein National Economic Council(NEC)Peter Devine National Se

4、curity Council(NSC)Nikita Lalwani Office of Management and Budget(OMB)Nancy Kenly William McNavage Office of the U.S.Trade Representative(USTR)Rebecca Gudicello National Coordination Office for Networking and Information Technology R&D(NITRD)Craig Schlenoff National Nanotechnology Coordination Offic

5、e(NNCO)Branden Brough Quinn Spadola National Quantum Coordination Office(NQCO)Charles Tahan Department of Commerce(DOC)International Trade Administration(ITA)Paul Litwin Luke Myers National Institute of Standards and Technology(NIST)Jason Boehm Richard-Duane Chambers David Gundlach J.Alexander Liddl

6、e Eric Lin Robert Rudnitsky Department of Defense(DOD)Carl McCants Alison Smith Devanand Shenoy Department of Energy(DOE)Hal Finkel Andrew Schwartz Department of Health and Human Services(HHS)National Institutes of Health(NIH)David Rampulla Department of Homeland Security(DHS)Jalal Mapar Pauline Pak

7、i NATIONAL STRATEGY ON MICROELECTRONICS RESEARCH ii Department of State(State)Kakoli Ray Michael Masuda Elizabeth Melenbrink Scott Sellars National Science Foundation(NSF)Dilma Da Silva Erwin Gianchandani Germano Iannacchione Barry Johnson Anthony A.Maciejewski Office of the Director of National Int

8、elligence(ODNI)John Beieler Eric Cheng Donald Parrish NATIONAL STRATEGY ON MICROELECTRONICS RESEARCH iii Table of Contents Abbreviations and Acronyms.v Executive Summary.vi Introduction.1 The Microelectronics Innovation Ecosystem.7 A Whole-of-Government Approach.10 Goal 1.Enable and Accelerate Resea

9、rch Advances for Future Generations of Microelectronics.11 1.1:Accelerate the research and development of materials that provide new capabilities or functional enhancements.15 1.2:Increase the capabilities of circuit design,simulation,and emulation tools.16 1.3:Develop a diverse array of robust proc

10、essing architectures and associated hardware needed for future systems.16 1.4:Develop processes and metrology for advanced packaging and heterogeneous integration.17 1.5:Prioritize hardware integrity and security as an element in co-design strategies across the stack.18 1.6:Invest in R&D for manufac

11、turing tools and processes needed to support transition of innovations into production-worthy fabrication processes.19 Goal 2.Support,Build,and Bridge Microelectronics Infrastructure from Research to Manufacturing.21 2.1:Support federated networks of device-scale R&D fabrication and characterization

12、 user facilities.22 2.2:Improve access for the academic and small-business research community to flexible design tools and wafer-scale fabrication resources.24 2.3:Facilitate research access to key functional materials.25 2.4:Expand access to advanced cyberinfrastructure for modeling and simulation.

13、25 2.5:Support advanced research,development,and prototyping to bridge the lab-to-fab gap.26 2.6:Support advanced assembly,packaging,and testing.29 Goal 3.Grow and Sustain the Technical Workforce for the Microelectronics R&D to Manufacturing Ecosystem.30 3.1:Support learners and educators in and acr

14、oss science and technology disciplines relevant to microelectronics.32 3.2:Foster meaningful public engagement in microelectronics and raise awareness of career opportunities in the semiconductor industry.34 3.3:Prepare an inclusive current and future microelectronics workforce.35 3.4:Build and driv

15、e microelectronics research and innovation capacity.37 NATIONAL STRATEGY ON MICROELECTRONICS RESEARCH iv Goal 4.Create a Vibrant Microelectronics Innovation Ecosystem to Accelerate the Transition of R&D to U.S.Industry.39 4.1:Support,build,and bridge centers,public private partnerships,and consortia

16、 to deepen collaboration among various stakeholders in the microelectronics ecosystem.40 4.2:Engage with and leverage the CHIPS Industrial Advisory Committee.44 4.3:Motivate and align the microelectronics community on key technical challenges with R&D roadmaps and grand challenges.45 4.4:Facilitate

17、academic,government,and industrial exchange to broaden understanding of needs and opportunities.46 4.5:Support entrepreneurship,start-ups,and early-stage businesses through targeted programs and investments.46 Advancing Research and Development to Support Manufacturing and Supply Chain Security.49 I

18、nternational Collaboration and the Role of Trade and Diplomacy.49 Future Directions.51 NATIONAL STRATEGY ON MICROELECTRONICS RESEARCH v Abbreviations and Acronyms1 2D two-dimensional 3D three-dimensional 3DHI 3D heterogeneous integration ADK assembly design kit AI artificial intelligence CHIPS Creat

19、ing Helpful Incentives to Produce Semiconductors(abbreviation for P.L.116-283,Title XCIX,and Division A of P.L.117-167)CMOS complementary metal-oxide-semiconductor DARPA Defense Advanced Research Projects Agency DTCO design-technology co-optimization EDA electronic design automation ENIAC Electronic

20、 Numerical Integrator and Computer FFRDC Federally Funded Research and Development Center FLOPS floating-point operations per second HBCU(s)Historically Black Colleges and Universities IP intellectual property KSAs knowledge,skills,and abilities MEMS microelectromechanical systems MGI Materials Geno

21、me Initiative ML machine learning MSI minority-serving institution NASA National Aeronautics and Space Administration NGMM Next-Generation Microsystems Manufacturing(DARPA program)nm nanometer NNCI National Nanotechnology Coordinated Infrastructure(NSF program)NNI National Nanotechnology Initiative

22、NSTC National Semiconductor Technology Center(also,National Science and Technology Council)OECD Organisation for Economic Co-operation and Development OSTP Office of Science and Technology Policy PDK process design kit RFI Request for Information R&D research and development Si silicon STCO system t

23、echnology co-optimization STEM science,technology,engineering,and mathematics TCCU Tribally Controlled Colleges and Universities 1 See the Subcommittee on Microelectronics Leadership roster(pp.i-ii)for spelling out of acronyms of participating agency names.NATIONAL STRATEGY ON MICROELECTRONICS RESEA

24、RCH vi Executive Summary Decades ago,American innovation sparked the research advances that led to the semiconductor industry of today.This industry is global,underpins everything from health to communications,and is essential for the economy and security of the United States.The significant investm

25、ents made possible by the bipartisan CHIPS Acts provide opportunities to reinvigorate domestic manufacturing in this critical sector,and strengthen the microelectronics research and development(R&D)innovation ecosystem that can advance the American competitive position for the future.This National S

26、trategy on Microelectronics Research presents goals,key needs,and actions required over the next five years to realize these opportunities.This strategy provides the framework for federal departments and agencies,academia,industry,nonprofits,and international allies and partners to address key needs

27、 and build out the microelectronics research and development infrastructure to support the future advances that will shape the semiconductor field.As highlighted throughout this report,the significant CHIPS R&D investments underway must be fully leveraged and coordinated with the broad portfolio of

28、ongoing programs,activities,and resources that contribute to microelectronics research and development.Over the next five years,the White House and federal departments and agencies will work together to advance four interconnected goals:Enable and Accelerate Research Advances for Future Generations

29、of Microelectronics Support,Build,and Bridge Microelectronics Infrastructure from Research to Manufacturing Grow and Sustain the Technical Workforce for the Microelectronics Research and Development to Manufacturing Ecosystem Create a Vibrant Microelectronics Innovation Ecosystem to Accelerate the T

30、ransition of Research and Development to U.S.Industry The first goal focuses on key research needs in several areas that are required to accelerate the advances required for future generations of microelectronic systems.Research areas include materials that can provide new capabilities;circuit desig

31、n,simulation,and emulation tools;new architectures and associated hardware designs;processes and metrology for advanced packaging and heterogeneous integration;hardware integrity and security;and manufacturing tools and processes to enable transition of new innovations into production.These research

32、 areas require access to specialized tools and equipment.The second goal is focused on supporting,expanding,and connecting the research infrastructure from small-scale material and device-level fabrication and characterization through prototyping,large-scale fabrication,and advanced assembly,packagi

33、ng,and testing.The required tools include both software(including design tools)and commercial-scale production and metrology hardware.Expansion of the domestic semiconductor industry will also expand opportunities for good-paying jobs across the country.Goal three identifies efforts to support learn

34、ers and educators in the development of the technical workforce required from research through manufacturing.Finally,goal four is focused on the entire R&D landscape and presents strategies and actions to create a vibrant microelectronics innovation ecosystem to accelerate the transition of new adva

35、nces into commercial applications.Key efforts not only support actions at each stage of the microelectronics technology development pathway,but also connect the various networks and activities to build a virtuous cycle of microelectronics innovation.NATIONAL STRATEGY ON MICROELECTRONICS RESEARCH vii

36、 These four goals will be pursued in the context of the global nature of the semiconductor industry.As is the case with the semiconductor manufacturing supply chain,research facilities and talent that support the microelectronics innovation ecosystem are located all over the world.International coll

37、aboration,trade,and diplomacy are important tools to leverage efforts and resources,promote talent flow and research collaboration,and ensure secure supply chains.Implementation of this strategy will result in a vibrant innovation ecosystem that accelerates new research breakthroughs,supports the tr

38、ansition of these advances to manufacturing,and provides good-paying jobs to people all across America.A fully built-out and well-connected microelectronics research infrastructure will provide the foundation for researchers to advance their breakthroughs and lead to a virtuous innovation cycle.Nurt

39、uring and supporting microelectronics innovation will help secure future leadership in the semiconductor industry for the security and prosperity of the United States and its allies and partners.NATIONAL STRATEGY ON MICROELECTRONICS RESEARCH 1 Introduction The microelectronics2 revolution has transf

40、ormed society.Nearly all aspects of modern life are now dependent on semiconductor technology,including communications,computing,entertainment,health care,energy,and transportation.As a result,microelectronics are essential to the economic and national security of the United States.Rapid innovation

41、in the semiconductor industry has been fueled for decades by research and development(R&D)investments in hardware and software by the federal government and the private sector.3 The intense race to continually increase the performance and functionality of microelectronics,while maintaining or reduci

42、ng cost and power requirements,has driven the fabrication of ever smaller and more densely integrated microelectronic components.This miniaturization has required continuous breakthroughs in materials,tools,and design that have enabled key structures within the components to have dimensions as small

43、 as a few atoms in size.While reductions in feature size have led to dramatic increases in digital information storage and processing capacity,there have also been many significant advances in analog and non-silicon technologies that are critical for communications,power,and sensing.The required adv

44、ances in manufacturing have been enabled by significant investments not only in R&D,but also in developing the manufacturing and metrology equipment and the associated fabrication(“fabs”)and packaging facilities required to make advanced integrated circuits and components.The complexity and cost of

45、manufacturing at this scaleestablishing a leading-edge4 silicon fab complex now costs tens of billions of dollars5has contributed to significant consolidation in the industry.Today,only three corporations in the world are competing to manufacture the latest generations of advanced logic devices.6 In

46、 June 2021,the White House released Building Resilient Supply Chains,Revitalizing American Manufacturing,and Fostering Broad-Based Growth,a report on critical supply chains,including the semiconductor manufacturing and advanced packaging supply chain.7 The report noted that although U.S.-headquarter

47、ed semiconductor companies accounted for nearly half of worldwide revenue,the share of global semiconductor manufacturing conducted domestically had dropped from 37%in 1990 to 12%,and the U.S.share of packaging had fallen to 3%.8 As discussed in the report,modern 2 Microelectronics in this context r

48、efers to integrated electronic devices and systems generally manufactured using semiconductor-based materials and related processing(i.e.,in a semiconductor fabrication manufacturing facility,or“fab”).Such devices and systems include analog and digital electronics,power electronics,optics and photon

49、ics,and micromechanics for memory,processing,sensing,and communications applications.3 The semiconductor industry refers to the manufacturing sector including design and production of products consisting of semiconductor-based electronic devices and integrated circuits,along with advanced packaging

50、and power electronics.4 “Leading-edge”refers to the most miniaturized or“scaled”digital computing and memory technologycurrently denoted the“3 nm node”with new,smaller nodes being produced every two to three years.5 For example,see,TSMC looks to double down on U.S.chip factories as talks in Europe f

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 研究报告 > 其他

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服