收藏 分销(赏)

数字存储示波器的设计与制作报告.doc

上传人:w****g 文档编号:2579505 上传时间:2024-06-01 格式:DOC 页数:12 大小:609.54KB
下载 相关 举报
数字存储示波器的设计与制作报告.doc_第1页
第1页 / 共12页
数字存储示波器的设计与制作报告.doc_第2页
第2页 / 共12页
数字存储示波器的设计与制作报告.doc_第3页
第3页 / 共12页
数字存储示波器的设计与制作报告.doc_第4页
第4页 / 共12页
数字存储示波器的设计与制作报告.doc_第5页
第5页 / 共12页
点击查看更多>>
资源描述

1、数字存储示波器的设计与制作报告 作者: 日期:2 个人收集整理 勿做商业用途课程报告 学校: 姓名:班级:学号: 日期:2009年05月07日数字存储示波器的设计与制作摘要本文介绍了一种基于单片机和FPGA的简易数字存储示波器的设计方案。与传统模拟示波器相比,数字存储示波器不仅具有可存储波形、体积小、功耗低、使用方便等优点,而且还具有强大的信号实时分析处理功能。在电子测量领域,数字存储示波器正在逐渐取代模拟示波器.本系统是以FPGA为核心,包括前端模拟信号处理模块、单片机模块、显示模块和键盘输入模块。单片机AT89S52控制整个系统键盘和点阵液晶模块实现人机交互。通过面板按键可方便调整波形显示

2、方式。关键词:FPGA;示波器;AT89S52AbstractIn this paper, a single-chip and FPGAbased digital storage oscilloscope simple design. Compared with traditional analog oscilloscopes, digital storage oscilloscope can store not only has the waveform, small size, low power consumption, easy to use, etc., but also has p

3、owerful real-time processing of the signal analysis function. In the field of electronic measurement, digital storage oscilloscope is gradually replacing analog oscilloscope。 FPGA system is at the core, including the front-end analog signal processing modules, single-chip module, display module and

4、the keyboard input module。 AT89S52 Singlechip control of the system keyboard and dot matrix LCD module to achieve humancomputer interaction. Through the button panel can be easily adjust the waveform display.个人收集整理,勿做商业用途文档为个人收集整理,来源于网络Keywords: FPGA;Oscilloscopes;AT89S521 方案论证与分析1。1核心处理器选择方案方案一:纯单片

5、机方式。完全由单片机来实现前级信号程控调理、采样保持电路及A/D转换器的控制、数据的处理及存储、波形显示和控制电路等功能.方案二:单片机与FPGA结合的方式。由单片机来完成信号调理和人机界面等顶层控制功能,而由FPGA来完成采集和信号处理等底层的核心计算.方案一的最大特点是只用单片机,系统规模可以做得很小,成本较低.但是,单片机在处理高速信号时有些吃力,而且在时序控制方面也显得精度不足。相比之下,方案二则更加合理和可靠。FPGA的应用已经相当的普遍和成熟。用其进行采样时钟控制和信号处理,是提高系统性能和指标最有效的方法.因此,选择单片机与FPGA的结合来作为系统的核心处理器.1.2前级信号调理

6、方案设计方案一:一路调理。所有信号都通过同一路信号调理电路,经过相应的衰减或放大设计,将信号幅度控制在合适的范围内,以便后级的数据采样。方案二:多路调理。将不同频率范围或不同幅度范围的信号经过各自的电路进行调理。示波器选择不同的档位,则选择了不同的信号通路。方案一电路简洁,但由于信号的频率和幅度跨度都很大,给硬件电路的调试带来较大困难;方案二虽然可以对不同频率和幅度范围内的信号进行单独调试,降低了每一路通道对硬件电路的要求,但电路规模大、结构繁琐.因此,选择方案一,精心设计实现电路,采用高速运放LM6361和高速比较器AD744组成的相应电路对输入信号进行调整. 1.3信号采样方式的选取 方案

7、一:实时A/D采样。根据奈奎斯特采样定理,采样速率必须高于2倍的信号最高频率分量。对于正弦信号,一周期内应有2个采样点。为了不失真恢复被测信号,通常一周期内需要采样8个点以上。为了配合高速模数转换器,采用FPGA控制M/D转换器的采样速率,以实现高速实时采样。实时采样可以实现整个频段的全速采样,本系统设计选用ADI公司的12位高速A/D转换器AD9220,其最高采样速率可达10 MHz。方案二:随机等效采样。对于输入信号,设其周期为T,如果能够准确地得到其T/n的时间,那么就可以每隔一定时间采样一次,采n个数据点。如果将逐次比较AD转换的各次比较过程分在各个不同的周期,但都对应相同的相位,从理

8、论上来说于在一个周期内采样是等价的,而每次比较的时间总比完整的转换时间短得多,因此分周期逐次比较可以对频率更高的输入信号进行采样。1.4双踪显示本系统设计的双踪显示模块是以高速切换模拟开关选通两路信号进入采样电路,两路波形存储在同一个存储器的奇、偶地址位。双踪显示时,先扫描奇地址数据位,再扫描偶地址数据位。采用模拟开关代替一个模数转换器,避免两片高速AD转换器相互干扰,降低系统调试难度,并且实现系统功能。1.5触发方式采用FPGA内部软件触发方式,通过软件设置触发电平,所设置的施密特触发器参数易于修改,从而抑制比较器产生的毛刺。当采样值大于触发电平,则产生一次触发.该方式充分利用了FPGA的资

9、源,减少外围电路,消除硬件毛刺产生的干扰,易于调整触发电压。1。6波形显示位置的调节1。6.1行扫描调节通过控制FPGA内部双口RAM(1 KB)的起始地址的偏移量确定来控制波形的移动.其具体方法是将滑动变阻器R上的电平通过模数转换器转换为数字信号传输给FPGA,再与初始电平数字信号(显示位置复位时,滑动变阻器R的电平采样值)相比较决定起始地址ADR0的偏移量。该方法可易于实现波形满屏和自动显示功能。1.6。2 列扫描调节MAX197采样A、B通道的Position电位器值,所得采样值经FPGA送至16位串行DA转换器,MAX542产生直流电平,该直流电平与列扫描波形相加送至模拟示波器显示,实

10、现波形上下移动。为分离A、B通道,在读A通道波形数据时,FPGA必须将Position A电位器的值送至DA转换器;而在读B通道波形数据时,也必须将Position B电位器的值送至DA转换器,这样可在调节某一电位器时,实现相应通道波形上下移动。1。7波形数据存储数字示波器存储波形数据可采用外接的双口RAM或通用静态RAM,同时FPGA可控制RAM的地址线,从而实现波形数据的存储。双口RAM可同时进行读写操作,由于本系统设计采用FPGA,因此可充分利用FPGA的逻辑阵列和嵌入式阵列,可将双口RAM写入FPGA内部,从而无需外接RAM,减少硬件电路,提高简易数字示波器的可靠性。2 系统设计方案本

11、系统设计框图如图1所示。整个系统是以FPGA为核心,包括前端模拟信号处理模块、单片机模块、显示模块和键盘输入模块。而信号的前级处理模块又包括射级跟随器、程控放大电路、整形电路。A、B通道的信号经前级处理变为O4 V,AD9220对其采样。波形存储控制模块将其采样数据写入FPGA内部RAM,再由波形显示控制模块进行显示。FPGA通过编程设置实现测频、键盘扫描、显示驱动、波形存储控制等功能。单片机AT89S52控制整个系统键盘和点阵液晶模块实现人机交互,通过面板按键可方便调整波形显示方式。2.1系统硬件设计2.1.1输入信号调理电路图2 前级信号调理电路2.1.2信号采集电路A/D转换器这里采用的

12、A/D转换器是美国TI公司生产的新型模/数转换器件TLC5510(ADC)。它是一种采用CMOS工艺制造的8位高阻抗并行A/D芯片,能提供的最小采样率为20MSPS。TLC5510引脚说明如图3所示。图3 TLC5510引脚存储器由于TLC5510高达20MSPS的采样率,单片机很难能达到这个速度,这时采用双口RAMIDT7202,与之配合使用,以达到与单片机同步的目的。IDT7202是AMD公司推出的一款先进/先出双口存储器。存入数据的时间是12ns,即存入频率高达83MHz,完全可以与20MSPS的A/D匹配,所以两块芯片可以用同一时钟采样并存储。IDT7202与TLC5510接口电路如图

13、4所示。图4 IDT7202与TLC5510接口电路2.1。3程控放大电路采用模拟开关CD4051、宽带运算放大器AD844及精密电位器实现10 mVdiv2 Vdiv的多档垂直分辨率。FPGA含有通道选择寄存器模块,通过单片机写入通道号控制模拟开关以选通不同的反馈电阻,实现不同放大倍数,将信号调理在满足AD9220的04 V的范围内,具体电路如图5所示。图4 程控放大电路2.1。4数据采集模块本系统设计采用ADI公司的高速模数转换器AD9220实现波形信号的采集,AD9220最高采样速率可达10 MHz,采用外部晶体振荡器8 MHz,FPGA内部通过采样实现波形存储.AD9220有直流耦合和

14、交流耦合两种输入方式.本系统设计采用直流耦合,05 V的输入方式。采用内部25 V参考电压。由于系统垂直分辨率只需255级,故采用AD9220的高8位.数据采集电路如图6所示.图6 数据采集电路2.2 FPGA设计系统采用Verilog HDL语言,在QuartusII软件下对FPGA进行逻辑电路的描述编程,可灵活实现系统所需电路和控制模块。221 触发模块单片机先向FPGA模块写入设置的触发电压,FPGA内部相比较后,当采样值大于该触发电压时,则产生一次触发。图7为触发模块。图7 触发模块222 程控放大控制模块单片机首先以100 mvdiv的档位对信号采样,通过比较与该信号最近的模拟开关的

15、通道号,然后写入控制字,产生相应通道号,实现垂直分辨率的调整。223 波形存储控制模块该模块为RAM模块的写地址累加器,可控制波形的存储。H_spring为单次和多次触发控制引脚,当为高电平时,单次触发,停止向RAM写入数据,所显示波形为存储波形;为低电平时,多次触发,当检测到一次触发时,即向RAM写一次数据,共l K个点,并在写操作时屏蔽触发。写地址先写奇地址,存入通道一采样后的波形数据,后写偶地址,存入通道二采样后的波形数据。如果连续多次检测不到触发时,向RAM中写入全0,显示一条直线,即实现自动捕捉功能。波形存储控制模块如图8所示。图8 控制模块224 波形显示控制模块该模块为读地址累加

16、器,从RAM中读取数据,并产生行扫描和列扫描数据。通过单片机写入累加器基地址,改变读取数据的起始位,实现波形的平移。该模块还可计算波形的峰峰值、平均值,单片机可直接读回数值。波形显示控制模块如图8所示.2.3 系统软件设计系统软件设计实现人机交互、信息提示、系统启动与复位等功能.首先系统初始化,显示默认通道波形,再等待按键按下。当按键按下后,完成相应功能,显示相应波形,然后循环等待。系统软件设计流程如图9所示。图9 系统设计流程图3 设计总结本系统设计采用单片机作为核心控制器,充分利用FPGA的可编程逻辑功能,完成相关电路设计。软硬件有机结合实现简易数字存储示波器的设计,系统总体功能完善,稳定

17、性高,使用方便。同时,通过本课程的学习不仅初步认识了高频方面的基础知识,如高频放大电路的设计、混频器的设计、倍频器的设计、预加重电路的设计等,而且更接触了现场可编程逻辑器件FPGA、CPLD,这些对于我以后学习电子线路EDA和高频电子线路打下了一定的基础,能使我更快地掌握这方面的知识。参考文献1康华光,邹寿彬,秦臻.电子技术基础-数字部分(第五版)Z.北京:高等教育出版社,20062高吉祥等. 全国大学生电子设计竞赛培训系列教程Z. 北京:电子工业出版社,20073唐继贤。51单片机工程应用实例Z。 北京:北京航空航天大学出版社,2009 4周润景.基于QuartusII的FPGA/CPLD数字系统设计实例Z。北京:电子工业出版社,20069

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 包罗万象 > 大杂烩

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服