收藏 分销(赏)

现代控制工程课程设计(plc和单片机一起的).doc

上传人:精**** 文档编号:2474034 上传时间:2024-05-30 格式:DOC 页数:24 大小:1.87MB
下载 相关 举报
现代控制工程课程设计(plc和单片机一起的).doc_第1页
第1页 / 共24页
现代控制工程课程设计(plc和单片机一起的).doc_第2页
第2页 / 共24页
现代控制工程课程设计(plc和单片机一起的).doc_第3页
第3页 / 共24页
现代控制工程课程设计(plc和单片机一起的).doc_第4页
第4页 / 共24页
现代控制工程课程设计(plc和单片机一起的).doc_第5页
第5页 / 共24页
点击查看更多>>
资源描述

1、现代控制工程课程设计(plc和单片机一起的) 作者: 日期:24 个人收集整理 勿做商业用途现代控制技术与实践课程设计学院:机械工程学院班级:09创新一班姓名:图迪麦提学号:0910100b01抢答器 摘要生活中抢答器用途很广泛,用于电视台、商业机构及学校,为竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活,并且能准确、公正、直观地判断出第一抢答者。通过抢答器的指示灯显示,指示出第一抢答者。本文采用三菱公司生产的FX2N型PLC为核心控制器进行三人抢答器系统的设计,设计出了控制电路图、程序指令、梯形图及输入输出端子的分配方案,并且用组态王进行组态。摘要21 绪论41.1 抢答器简介4

2、1.2 抢答器的分类42.1 设计要求42.2 设计思路52。3 设计方案的论证与比较62.4 抢答器的程序设计62.4.1 关于PLC的设计62。4.1.1 输入输出分配表62。4.1。2 梯形图62.4.1.3接线图7报警模块功能介绍:10抢答器设计步骤-数码管显示模块1 (扫描 ):10抢答器设计步骤数码管显示模块2(译码 ):11数码管显示模块功能介绍:12抢答器设计原理图:12抢答器仿真结果图:13结束14PLC课程设计14(一)设计任务15PLC分拣和分配线课程设计182121在学习编程过程中的心得211 绪论1。1 抢答器简介抢答器在竞赛、文体娱乐活动(抢答活动)中,能准确、公正

3、、直观地判断出抢答者的机器。通过抢答者的指示灯显示、数码显示和警示显示等手段指示出第一抢答者。一般抢答器由单片机以及外围电路组成,分为八路十路等不同,八路和十路的差别是,抢答器背面的接口有几组,和外形没有关系。抢答器可以通过单片机、PLC、数字电路等实现。1。2 抢答器的分类(1)电子抢答器 电子抢答器的中心构造一般都是由抢答器由单片机以及外围电路组成,其搭配的配件不同又分为,非语音非记分抢答器和语音记分抢答器。多适用于学校和企事业单位举行的简单的抢答活动。非语音记分抢答器构造很简单,就是一个抢答器的主机和一个抢答按钮组成,在抢答过程中选手是没有记分的显示屏。语音记分抢答器是有一个抢答器的主机

4、和主机的显示屏和选手的记分显示屏.(2)电脑抢答器 电脑抢答器又分为无线电脑抢答器和有线电脑抢答器.无线电脑抢答器的构成是由:主机和抢答器专用的软件和无线按钮。无线电脑抢答器利用电脑和投影仪,可以把抢答气氛活跃起来,一般多使用于电台等大型的活动。有线电脑抢答器也是由主机和电脑配合起来,电脑在和投影仪配合起来,利用专门研发的配套的抢答器软件,可以十分完美的表现抢答的气氛.2 系统功能2.1 设计要求要求设计一台PLC控制并可供若干名选手参加比赛的智力竞赛抢答器。1)竞赛主持人接通“启动/停止”转换开关,电源指示灯亮。2)参赛者共分三个组,每组桌上设有一个抢答按钮。当主持人按下开始抢答按钮后,如果

5、在5s内有人抢答,则最先按下的抢答按钮信号有效,相应桌上的抢答指示灯亮。3)当主持人按下开始抢答按钮后,如果在5s内无人抢答,则撤销抢答指灯亮,表示抢答器自动撤销此次抢答信号.4) 当主持人再次按下开始抢答按钮后,所有抢答指示灯熄灭。5) 主持人提出问题后,按下启动按键。抢答者在最短时间内按下抢答按键,当第一个按下按键后,显示器上显示此竞赛者的号码并进行声音提示,提示其他抢答按键无效。若有人提前抢答,则显示器出现违规标志“F”以及违规者号码。具体要求与时间安排 每一学生在教师指导下,独立完成一个单片机应用系统。工作量如下:1、电路原理图(A3幅面)1张,要求Protel软件绘制;2、程序流程图

6、(A3及以上幅面)1张;3、设计说明书(20-30页)1本,内含系统设计分析、元器件选型、能编译通过的源程序(有必要的注释) 。设计内容及学时分配如下: 1、布置任务、准备参考资料 (0.5工作日) 2、原理图设计及绘制(1工作日) 1、程序设计 (2工作日) 5、撰写设计说明书 (1工作日)7、答辩 (0。5工作日)2。2 设计思路抢答器广泛用于电视台、商业机构及学校,为竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。本文采用三菱公司生产的FX2N型PLC为核心控制器进行三人抢答器系统的设计,能使三个队同时参加抢答,赛场中设有1个裁判台,3个参赛台,分别为1号、2号、3号参赛台。

7、抢答操作方便,在很多的场所都可以使用,并且给人的视觉效果非常好.首先设置主持人的开始按钮SB5,且设置相应的灯B0亮。其次用3个按钮分别代表3组选手的抢答按钮,如:SB6代表1组抢答选手,且相对应的B1灯亮;SB7代表2组抢答选手,且相对应的B2灯亮;SB10代表3组抢答选手,且相对应的B3灯亮。由于其中一组选手最先按下抢答按钮后,另外的两组选手抢答无效,所以要设置互锁,即在B1灯前设置B2,B3的常闭开关,在B2灯前设置B1,B3的常闭开关,在B3灯前设置B1,B2的常闭开关.并且选手的3盏灯要分别自锁,确保灯的持续点亮。当主持人按下开始按钮后,3组选手才可以抢答,要在3组选手的显示灯前设置

8、B0的常开.题目要求当主持人按下开始抢答按钮后,如果在5s内有人抢答,则最先按下的抢答按钮信号有效,相应桌上的抢答指示灯亮.如果在5s内无人抢答,则撤销抢答指示灯亮,表示抢答器自动撤销此次抢答信号。应在开始按钮SB4后接一个时间继电器T0,并且设置时间为10s,当时间继电器数够10s后断开B0(在B0前加入一个T0的常闭),3组选手抢答无效。题目要求主持人接通的是“启动/停止”转换开关,即按下一次为开始,再次按下时为停止。我想在主持人开始按钮SB5所对应的X0上接两个计数器C0和C1,让C0设置为2次,C1设置为3次.当主持人第一次按下SB5时,抢答开始,C0、C1各计数一次;当主持人第二次按

9、下SB5时,C0计满2次,将C0的常闭开关接到Y0上,Y0断开,抢答结束;当主持人第三次按下SB4,此时抢答又开始,C1计满3次时将C0、C1复位,此时C0、C1重新开始计数。当T0数够5s后,无人抢答,也将C0、C1复位,重新开始抢答。最后的结束指令END。2。3 设计方案的论证与比较与普通抢答器相比,本作品有以下几方面的优势:(1)具有PLC控制的功能。(2)具有定时功能。(3)用组态软件对抢答器工作过程控制进行组态。2。4 抢答器的程序设计2。4.1 关于PLC的设计2.4。1.1 输入输出分配表输入输出分配表介绍了PLC输入输出口与实际的抢答器的对应关系,在表中以了解到PLC各个输入输

10、出口对实际抢答器的对应控制情况。如表4-1所示:表4-1 输入输出分配表输 入输 出名称输入点名称输出点主持人按钮SB5A0抢答指示灯HLB01组抢答按钮SB6A11组显示灯HL1B12组抢答按钮SB7A22组显示灯HL2B23组抢答按钮SB10A33组显示灯HL3B32。4。1.2 梯形图梯形图方便了电气工程人员编制程序它的编制思想和继电器电路设计思想相同.如图4-1所示:图4-1 PLC梯形图2.4。1.3接线图PLC外部接线图显示了实际中的抢答器与PLC输出口的连接关系 。如图42所图42 PLC的外部接线图2。4.1.4 PLC的运行结果实验步骤一:主持人按下手中按钮SB5,指示灯Y0

11、亮,选手可开始抢答。若一组选手最先抢答按SB6,指示灯Y1亮。后按下抢答器的二组选手与三组选手指示灯Y2、Y3均未亮,表示没有抢到。主持人再次按下手中按钮SB5所有状态撤销.实验步骤二:主持人按下手中按钮SB5,指示灯Y0亮,选手可开始抢答.若二组选手最先抢答按SB7,指示灯Y2亮.后按下抢答器的一组选手与三组选手指示灯Y1、Y3均未亮,表示没有抢到.主持人再次按下手中按钮SB5所有状态撤销。实验步骤三:主持人按下手中按钮SB5,指示灯Y0亮,选手可开始抢答。若三组选手最先抢答按SB10,指示灯Y3亮。后按下抢答器的一组选手与二组选手指示灯Y1、Y2均未亮,表示没有抢到.主持人再次按下手中按钮

12、SB5所有状态撤销.实验步骤四:主持人按下手中按钮SB5,指示灯Y0亮,选手可开始抢答。过10秒,若无选手按下抢答器按钮,指示灯Y1、Y2、Y3均未亮、Y0灯熄灭灯表示无人抢答。主持人再次按下手中按钮SB5所有状态撤销。设计流程图抢答器设计步骤-报警模块 : if (s2=1 or st(2)=1)and not( st(0)=1 or st(1)=1 or st(3)=1 ) then st(2)=1; end if ; if (s3=1 or st(3)=1)and not( st(0)=1 or st(1)=1 or st(2)=1 ) then st(3)=1; end if ;war

13、n=st(0) or st(1) or st(2) or st(3);end if ;end process p1;p2:process(states(0),states(1),states(2),states(3),light) begin if (st=0000) then states=0000; elsif (st=0001) then states=0001;elsif (st=0010) then states=0010; elsif (st=0100) then states=0011;elsif (st=1000) then states=0100; end if; light

14、=states;end process p2;end one;个人收集整理,勿做商业用途文档为个人收集整理,来源于网络library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity xsjb is port(rst,clk2:in std_logic; s0,s1,s2,s3:in std_logic; states:buffer std_logic_vector(3 downto 0); light:buffer std_logic_vector(3 downto 0);warn:out std_

15、logic);end xsjb ;architecture one of xsjb issignal st:std_logic_vector(3 downto 0);beginp1:process(s0,rst,s1,s2,s3,clk2) begin if rst=0 then warn=0;st=0000; elsif clk2event and clk2=1 then if (s0=1 or st(0)=1)and not( st(1)=1 or st(2)=1 or st(3)=1 ) then st(0)=1; end if ; if (s1=1 or st(1)=1)and not

16、( st(0)=1 or st(2)=1 or st(3)=1 ) then st(1) dout dout dout dout=XXXX;end case;temp=temp+1;if(temp=10) thentemp=00;end if; end if; end process;end architecture; library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity scan isport(clk : in std_logic;count1,count2,count3 : in st

17、d_logic_vector(3 downto 0);s : out std_logic_vector(1 downto 0);dout : out std_logic_vector(3 downto 0);end entity;architecture art of scan issignal temp :std_logic_vector(1 downto 0); begin process(clk)begin if(clkevent and clk=1)then s dout dout dout dout=XXXXXXXX;end case; end process; bit1=dout(

18、0);bit2=dout(1);bit3doutdoutdoutdoutdoutdoutdoutdoutdoutdoutdout=1111111;end case;end process;end at1;本文为互联网收集,请勿用作商业用途文档为个人收集整理,来源于网络数码管显示模块功能介绍:数码管显示模块,要想数码管显示必须知道实验箱数码管的连接电路,数码管有段码和位码,可以用扫描电路扫描段码,可以以此点亮不同的数码管,数码管的位码是由译码电路输出的。抢答器设计原理图:抢答器仿真结果图:结束PLC课程设计摘要生活中,尤其是现代化生活,现代化生产中,现代化控制行业中plc起着很重要的作用。(一)

19、设计任务1。部件分配控制控制要求:按下启动按钮后,将不断传送来的部件按小、中、大进行分配并推入相应的碟子中,但大碟子只能装3个部件,中号和小号碟子只能装2个部件,其它部件从右端直接掉下。按下停止按钮后,动作立即停止,但传送带上不能有部件。2不良部件的分捡控制控制要求:按下启动按钮后,漏斗定时补给部件,钻机不断给部件钻洞,钻洞正常的和异常的部件分别送入相应的碟子中.钻洞时,传送带不能动。当不良品超过3个时,动作暂停,5s后重新开始,按下停止按钮,动作立即停止,且传送带上不能有部件。3正反转控制控制要求:按下启动按钮后,漏斗定时供给部件,然后按小、中、大进行分配,并将小号和大号部件推入相应的碟子中

20、,中号部件由机械手送入中号碟子中,按下停止按钮,动作立即停止,且传送带上不能有部件.4升降机的控制控制要求: 按下启动按钮后,且当升降机位于最下方位置时,漏斗开始供给部件,然后按小、中、大进行分配,并通过升降机送入相应的碟子中,按下停止按钮时,动作立即停止,且传送带上不能有部件。5分捡和分配线的控制控制要求: 按下启动按钮后,机械手定时供给部件,然后按小、中、大进行分配,大号部件经分检器送入后部传送带直接从右边落下,中号部件直接送入前方传送带,由机械手取走放入最右边的碟子中,小号部件经分检器送入后部传送带并推入相应的碟子中;按下停止按钮时,动作立即停止,且传送带上不能有部件。当机械手补给一个部

21、件时,闪烁灯红灯亮,当传送带移动时,绿灯亮,当传送带停止时,黄灯亮。(二)设计要求(1) 选择PLC,分配输入输出端口,画PLC外部接线图(A3);(2) 设计PLC控制程序并调试程序,画梯形图(A3);(3) 撰写课程设计说明书。(三)评分要求平时点名占 10%,答辩占 40,课程设计说明书及图纸占 50%.PLC分拣和分配线课程设计简介电气控制与PLC课程设计是电气自动化技术专业一个重要的实践性教学环节,通过课程设计达到熟练使用可编程控制器实现简单控制系统的控制要求,熟练地进行系统编程、调试等工作.设计目的课程设计应强调能力培养为主,在独立完成设计任务的同时,还要注意其他几方面能力的培养与

22、提高,如独立工作能力与创造力;综合运用专业及基础知识的能力,解决实际工程技术问题的能力;查阅图书资料、产品手册和各种工具书的能力;书写技术报告和编制技术资料的能力。本次课程设计的主要目的是通过某一生产设备的电气控制装置的设计实践,了解一般电气控制系统设计过程、设计要求、应完成的工作内容和具体设计方法。通过设计也有助于复习、巩固以往所学的知识,达到灵活应用的目的.设计必须满足生产设备和生产工艺的要求,因此,设计之前必须了解设备的用途、结构、操作要求和工艺过程,在此过程中培养从事设计工作的整体观念。在专业知识与研究方法方面为日后的毕业设计乃至毕业后的工作积累一定的经验和能力.设计课题: 分拣和分配

23、线,根据尺寸,分拣每个部件到指定的地点用来设计软件的工作原理:机器人捡出部件放到传送带上,部件分别为大中小三种,传送过程中,被传感器检测到物件的大小,大的物件放到后部传送带,然后从右端落下.小的部件放到后部传送带,部件被推到碟子上。中等大小的部件被放到前面传送带,然后被机器人放到碟子上。控制方式和控制要求一、控制对象:检测部件大小,并按之分配到特定的地方。二、控制规格:1、 当按下操作面板上的PB1(x20),机器人的供给指令Y0被置为on。当机器人移动完部件而且回到出发点后,供给指令Y0被置为off。机器人在供给指令y0被置为on以后补给一个部件。2、 当操作面板上的sw1(x24)被置为o

24、n传送带正传,当sw1(x24)被置为off,传送带停止。3、 在传送带上的大中小部件被输入传感器上x1,中x2 ,下x3,分拣而且被搬运到特定的碟子上.大部件:在传送带分支的分拣器Y3被置为on的时候,放到后部传送带,然后从右端落下.中部件:在传送带分支的分拣器Y3被置为off的时候被放到前面传送带,然后被机器人放到碟子上。小部件:在传送带分支的分拣器Y3被置为on的时候,放到后部传送带,当在传送带分支的传感器,检测到部件x6被置为on,传送带停止,部件被推到碟子上。4、 当机器人里的部件在桌子上x11,被置为on,取出指令Y7被置为on。当机器人操作完成x12被置为on,(当一个部件被放到

25、碟子上时为on),取出指令Y7被置为off.5、当操作面板上上的sw2 x25被置为on后,一个新的部件会被自动补给。1)当一个机器人开始搬运一个中部件.2)当一个小部件被放到碟子上,或一个大部件从传送带的右端掉下。6、闪烁灯在以下情况点亮。红灯:当机器人补给一个部件时点亮。绿灯:当传送带移动时点亮。黄灯:当传送带停止时点亮。三、根据以上得出设计分配I/O端口地址如下表所示输入功能输出功能X0原点位置Y0 供给指令X1上Y1 传送带正传X2中Y2 分拣器X3下Y3 传送带正传X6检测到部件Y4 输送带正传Y5 输送带正传Y6 推出机构Y7取出指令Y10 红Y11 绿Y12 黄软件设计部分用户程

26、序设计,由该装置的控制要求,可知是一个典型逻辑顺序控制,其用户程序设计可以用基本指令、步进指令或功能指令等多种方式来实现.至此初步完成了PLC控制的不同大小部件分检的电气控制部分的软件设计。软件部分直接用用户的程序可以在PLC模拟软件中进行模拟调试。本设计用梯形图。如下图所示:在学习编程过程中的心得和学别的学科一样,在学完PLC理论课程后我们做了课程设计,由于平时都是学理论,没有过实际开发设计的经验,拿到的时候都不知道怎么做.但通过各方面的查资料并学习。我基本学会了PLC设计的步聚和基本方法. 通过这次设计实践。我学会了PLC的基本编程方法,对PLC的工作原理和使用方法也有了更深刻的理解。在对

27、理论的运用中,提高了我们的工程素质,在没有做实践设计以前,我们对知道的撑握都是思想上的,对一些细节不加重视,当我们把自己想出来的程序与到PLC中的时候,问题出现了,不是不能运行,就是运行的结果和要求的结果不相符合。能过解决一个个在调试中出现的问题,我们对PLC 的理解得到加强,看到了实践与理论的差距。 在设计的过程中需要得到老师和同学的帮助与意见。在学习的过程中,不是每一个问题都能自己解决,向老师请教或向同学讨论是一个很好的方法. 初学时可以编一些简单的梯形图,如触点的与、或、输出等,在PLC的机器里运行一下.1学习PLC的理由PLC控制是当今自动化控制的主流,目前自动化机台控制大多采用PLC

28、控制,只要是从事自动化的人员,就必须要会使用PLC,否则入不了自动化控制的门,被自动化行业淘汰。为此不得不学习PLC.2方法a有条件的最好去买台PLC用来实践;bPLC技术是一门实践性非常强的技术,如果你想学好,那么你就必须去实践它。编程就像是一位习武之人,如果只是整天坐在家中看拳谱,不出门练武的话,那么就是一本再厉害的武林密集,再长的时间他的功力也不会提高。学习PLC也是同样的道理,光看书是没有用的,一本PLC书您就是看了十遍以后您还是不会用,学过编程(不管什么语言)的都知道。c在学习PLC有了一定的基础之后,可以自己独立编写一段自己设计的程式,然后传送到PLC中去运行程式。再经过不断的修改,调试,最后运行成功。

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 教育专区 > 其他

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服