资源描述
GDOU-B-11-112
广东海洋大学学生实验报告书(学生用表)
实验名称
多路选择器的实现
课程名称
EDA
课程号
学院(系)
信息学院
专业
电子信息工程
班级
1083
学生姓名
蒙传剑
学号
200811611323
实验地点
钟海楼04017
实验日期
2011年4月
实验七、多路选择器的实现(综合实验)
一、 实验目的:
学会用VHDL去实现多路选择器的实现(综合实验)。
二、 实验设备:
友晶公司的DE2板。FPGA核心芯片为EP2C35F672C6。
三、 实验内容:
实现功能:在DE2板上面用十一个开关作为地址的输入,一个开关作为选通使能端,用LEDR中的一位作为输出(低电平有效)。
四 试验程序
library ieee;
use ieee.std_logic_1164.all;
entity xie7 is
port( c:in std_logic;
b:in std_logic_vector(7 downto 0);
a: in std_logic_vector(2 downto 0);
y:out std_logic);
end xie7;
architecture xuanze of xie7 is
begin
process(c,b,a)
begin
if
c='1' then
case a is
when "000"=> y<=b(0);
when "001"=> y<=b(1);
when "010"=> y<=b(2);
when "011"=> y<=b(3);
when "100"=> y<=b(4);
when "101"=> y<=b(5);
when "110"=> y<=b(6);
when "111"=> y<=b(7);
when others =>null;
end case;
end if;
end process;
end xuanze;
五 实验结果:
1、仿真后的RTL图如下:
2、 仿真的波形图如下:
六、心得体会
实验过程中培养了我在实践中研究问题,分析问题和解决问题的能力以及培养了良好的工程素质和科学道德,例如团队精神、交流能力、独立思考、测试前沿信息的捕获能力等;提高了自己动手能力,培养理论联系实际的作风,增强创新意识。
展开阅读全文