收藏 分销(赏)

Quartus-II使用—原理图输入步骤.ppt

上传人:可**** 文档编号:801667 上传时间:2024-03-21 格式:PPT 页数:22 大小:1.08MB
下载 相关 举报
Quartus-II使用—原理图输入步骤.ppt_第1页
第1页 / 共22页
Quartus-II使用—原理图输入步骤.ppt_第2页
第2页 / 共22页
Quartus-II使用—原理图输入步骤.ppt_第3页
第3页 / 共22页
Quartus-II使用—原理图输入步骤.ppt_第4页
第4页 / 共22页
Quartus-II使用—原理图输入步骤.ppt_第5页
第5页 / 共22页
点击查看更多>>
资源描述

1、Quartus II 使用说明原理图输入方式一、Quartus II工程的创建 点点击File/New Project Wizard 在在该对话框中依次指定工程目框中依次指定工程目录、工程名和、工程名和顶层文文件名,注意:工程名和件名,注意:工程名和顶层设计名必名必须相同相同如果想要如果想要对工程的工程的设置置进行修改,可以使用行修改,可以使用“Assignments”菜菜单下的下的“Settings”对话框框“Settings”对话框框 二、新建文件 点点击新建文件快捷新建文件快捷图标或主菜或主菜单Files/New命令命令 格式及图标输入方式扩展名图形输入Block Diagrams/Sc

2、hematics(模块结构/逻辑原理图).bdf文本输入AHDL(Altera硬件描述语言).tdfVHDL(Very High Speed Integrated Circuit硬件描述语言).vhdVerilog HDL(硬件描述语言).v文件打开与关闭打开文件:点击快捷图标 点击项目导航器Files窗口中的文件名 执行主菜单File/Open命令 器件设计源文件必须在项目工程下打开器件设计源文件必须在项目工程下打开关闭文件:点击文件右上方“”符或主菜单File/Close命令 启动Quartus II后,点击File/Open Project 打开一个已经创建的工程(*.qpf)三、设计输

3、入原理图设计输入 宏功能函数宏功能函数(megafunctions)库中包含很多种可直接使用的参中包含很多种可直接使用的参数化模数化模块,基本,基本单元符号元符号(Primitives)库中包含所有中包含所有Altera公公司的基本司的基本单元,其他元,其他库(Others)中包含与中包含与MAX+PLUS II兼容兼容的所有中的所有中规模器件,如常用的模器件,如常用的74系列符号。系列符号。(1)连线符号之符号之间的的连线包括信号包括信号线和和总线两种。如果需要两种。如果需要连接的是两个端口,接的是两个端口,则将鼠将鼠标拖拖动到其中一个端口上,此到其中一个端口上,此时,鼠,鼠标指示符自指示符

4、自动变成成“+”形状,一直按住鼠形状,一直按住鼠标并且拖并且拖动到第到第二个端口二个端口处,然后放开左,然后放开左键便在两个端口之便在两个端口之间画出了一条画出了一条连线。(2)放置引脚)放置引脚引脚包括引脚包括输入,入,输出和双向三种出和双向三种类型,放置方法与放置符号的方法基本相同,双型,放置方法与放置符号的方法基本相同,双击图形形编辑窗口的空白窗口的空白处,然后在,然后在Symbol对话框的符号框中框的符号框中键入引脚名,或者可以在常用符号入引脚名,或者可以在常用符号库的引脚的引脚库中中选择,最后,最后单击OK按按钮,相,相应的引脚就会的引脚就会显示在示在图形形编辑窗口中。窗口中。(3)

5、命名引)命名引线和引脚和引脚引引线命名方法可以按下面的方法命名方法可以按下面的方法进行:在需要命名的引行:在需要命名的引线上上单击鼠鼠标,此,此时引引线将将处于被于被选中状中状态,然后,然后输入引入引线名称。一般来名称。一般来说,对单个信号的命名,可以用字母、字母个信号的命名,可以用字母、字母组合合或字母与数字或字母与数字组合的方法,如合的方法,如D0、D1、clk、rst等;等;对于于n位位总线的命名,可以用的命名,可以用Dn-1.0形式,其中形式,其中D表示表示总线名,也可以用字母或字母名,也可以用字母或字母组合的形式来表示,以方便合的形式来表示,以方便记忆。四、编译 单击“Assignm

6、ents”菜菜单下的下的“Device”,选择Processing/Start compilation命令启命令启动全全编译过程程 五、仿真1、创建仿真波形文件建仿真波形文件Quartus II软件的波形件的波形编辑器默器默认的仿真的仿真结束束时间为1s,通通过选择“Edit/End Time”命令,在命令,在“Time”框内修改仿真框内修改仿真结束束时间,单位可以是位可以是s、ms、s、ns、ps 2、在矢量波形文件中加入、在矢量波形文件中加入输入、入、输出出结点点 在波形在波形编辑器左器左边“Name”列的空白列的空白处单击鼠鼠标右右键,在,在弹出的右出的右键菜菜单中通中通过选择“Inse

7、rt Node or Bus.”命令,然后在命令,然后在弹出的出的“Insert Node or Bus”对话框中框中单击“Node Finder.”按按钮 在出在出现的的“Node Finder”界面中,从界面中,从“Filter”列表中列表中选择“Pins:all”,在,在“Named”栏中中键入入“*”,然后,然后单击List按按钮,则在在“Nodes Found”栏将列出将列出设计中的所有中的所有节点名。点名。3、编辑输入入节点波形点波形 时钟节点波形的点波形的输入入 在在时钟节点名点名(如如CLK)上上单击鼠鼠标右右键,然后从,然后从弹出的快捷菜出的快捷菜单中中选择“ValueClo

8、ck”命令命令 总线信号波形的信号波形的输入入 在在总线节点名上点名上单击鼠鼠标右右键,然后,然后选择“Value/Count Value”命令,便可命令,便可设置置总线为计数数输入;同入;同时也可以通也可以通过选择“Value/Arbitrary Value”命令,命令,设置置总线为任意固任意固定定值输入。入。任意信号波形的任意信号波形的输入入 可以用鼠可以用鼠标拖拖动的方法在波形的方法在波形编辑区中区中选中需要中需要编辑的区域,然后在的区域,然后在选中的中的区域上通区域上通过单击鼠鼠标右右键,在,在“Value”菜菜单中中选择需要需要设置的波形。置的波形。波形编辑工具条4、仿真、仿真 选择

9、“Processing/Simulation Tool”命令,便可启命令,便可启动仿真器仿真器 如果要完成功能仿真,如果要完成功能仿真,则在仿真在仿真类型型中中选择“Functional”选项,在仿真,在仿真开始前先点开始前先点击“Generate Functional Simulation Netlist”命令,命令,产生功能生功能仿真网表文件。仿真网表文件。如果要完成如果要完成时序仿真,序仿真,则在仿真在仿真类型型中中选择“Timing”选项,在仿真前面,在仿真前面必必须编译设计,产生生时序仿真的网表序仿真的网表文件。文件。查看仿真波形看仿真波形 实验一:设计一个实验一:设计一个3-5译码器译码器实验目的实验目的(1)熟悉Quartus II软件。(2)熟悉Quartus II软件的基本操作方法:设计输入、设计编译及功能仿真。实验内容实验内容 用74138设计一个3-5译码器。实验要求实验要求(1)熟悉本次实验的内容。(2)用图形输入方式完成电路设计。(3)完成设计输入、设计编译及仿真。(4)分析器件的延时特性。选做实验选做实验(1)用两片74138扩展成4-16译码器,进行功能仿真及时序仿真验证;(2)熟悉译码器7442、7448,进行功能仿真及时序仿真验证;(3)用两片74148扩展成16-4编码器,进行功能仿真及时序仿真验证。

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 包罗万象 > 大杂烩

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服