收藏 分销(赏)

电子信息科学与技术专业毕业设计模板最终版范文.doc

上传人:精**** 文档编号:4648546 上传时间:2024-10-08 格式:DOC 页数:28 大小:145.50KB
下载 相关 举报
电子信息科学与技术专业毕业设计模板最终版范文.doc_第1页
第1页 / 共28页
电子信息科学与技术专业毕业设计模板最终版范文.doc_第2页
第2页 / 共28页
电子信息科学与技术专业毕业设计模板最终版范文.doc_第3页
第3页 / 共28页
电子信息科学与技术专业毕业设计模板最终版范文.doc_第4页
第4页 / 共28页
电子信息科学与技术专业毕业设计模板最终版范文.doc_第5页
第5页 / 共28页
点击查看更多>>
资源描述

1、电子信息科学与技术专业毕业设计模板最终版172020年4月19日文档仅供参考基于FPGA的电梯控制器的设计 学生专业: 电子信息科学与技术 学生姓名: 指导教师: 摘要电梯作为现代化的产物,早在上个世纪就进入了我们的生活之中。本设计就是基于FPGA用VHDL语言所开发的十六层电梯控制程序。VHDL具有与具体硬件电路无关和设计平台无关的特性,而且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化结构化设计方面,表现了强大的生命力和应用潜力。本设计主要是基于FPGA的电梯控制器,并在MAX+plus平台上进行了电路综合和仿真,FPGA芯片EPF10K30EFC484-3完成各个时序逻辑

2、控制。该电梯控制器遵循方向优先的原则,提供16个楼层多用户的载客服务并指示电梯的运行情况。经过程序调试及运行仿真,结果表明,本设计能够完成:电梯运行所在楼层指示、电梯运行方向指示、关门延时设置、超载报警、长按按键取消请求、能够锁住指定的楼层按键等。关键词电梯;控制系统;FPGA;VHDLAbstractThe product of the elevator as a modern, early last century into our lives. The design is based on the electronic design automation technology in th

3、e very high speed integrated circuit hardware description language developed by sixteen languages elevator control procedures. VHDL has nothing to do with specific hardware circuit design and characteristics of platform-independent, and has a good description of circuit behavior description and syst

4、em capacity, and accessibility of language and hierarchical structured design, demonstrated great vitality and potential applications. This design introduces FPGA-based elevator controller, and in the MAX + plus II platforms circuit synthesis and simulation, FPGA chip EPF10K30EFC484-3 to complete th

5、e various sequential logic control. The controller follows the direction of the principle of priority, to provide 16 floors of the passenger service and multi-user direct the operation of the elevator. Debugging and running through the simulation results show that the design can be completed: floor

6、where the elevator running direction, indicating the direction of the elevator, closing delay setting, overload alarm, press button to cancel the request, you can lock the keys so designated floors.KeywordsElevator Control System FPGA VHDL目录摘要IAbstractII第1章 绪论11.1 课题背景和意义11.2 发展现状21.2.1 国内发展现状21.2.2

7、 国外发展现状21.3 FPGA31.3.1 FPGA简介31.3.2 FPGA引脚分配3第2章 电梯控制器设计62.1 电梯控制系统组成62.2 主控制器62.2.1 信号寄存器62.2.2 核心控制器6第3章 电梯控制器程序73.1 电梯主控制器程序设计73.2 电梯主控制器状态机设计7第4章 电梯仿真84.1 MAX+plusII开发系统84.1.1 MAX+plusII软件操作流程84.1.2 MAX+plusII开发系统的特点84.2 主控制器的仿真8结论9致谢10参考文献11附录1 原理图12附录2 程序13CONTENTSAbstract(Chinese) IAbstract(E

8、nglish) IIChapter 1 Introduction11.1 Background and Significance11.2 Status Design21.2.1 Domestis Situation21.2.2 Foreign Situation21.3 FPGA31.3.1 FPGA Introduction31.3.2 FPGA pinouts3Chapter 2 The Elevator Controller52.1 Elevator Control System52.2 Mai-Controller52.2.1 Signal Register52.2.2 Core Co

9、ntroller5Chapter 3 Elevator Controller Programming63.1 Design of the elevator main controller pragram63.2 The design of the elevator main controller state machine6Chapter 4 Simulation of elevator controller74.1 MAX+plusII Development System74.1.1 MAX+plusII Software Operating Procedures74.1.2 MAX+pl

10、usII Development System Features74.2 Mai-Controller Simulation7Conclusion8Acknowledgement9References10Appendix 1 Schematic11Appendix 2 Program12第1章 绪论1.1 课题背景和意义现代社会的物质水平不断提高,越来越高的建筑是其中标志之一,对于高层建筑来说,电梯是必不可少的。除了高层建筑需要电梯外,对于服务和生产部门来说,同样需要各种各样的服务电梯和载货电梯。FPGA正是发挥了它可编程的特点、绕过定制集成电路的复杂环节,极大地缩短了新品上市时间、提高了设计

11、和使用的灵活性随着城市建设的不断发展1。当今世界,电梯控制系统主要分为三种控制方式:继电器控制系统早期安装的电梯多位继电器控制系统)、FPGA/CPLD控制系统和微机控制系统。继电器控制系统由于故障率高、可靠性差、控制方式不灵活以及消耗功率大等缺点,当前已逐渐被淘汰2。微机控制系统虽在智能控制方面有较强的功能,但也存在抗干扰性差,系统设计复杂,一般维修人员难以掌握其维修技术等缺陷。而FPGA/CPLD控制系统由于运行可靠性高,使用维修方便,抗干扰性强,设计和调试周期较短等优点,倍受人们重视等优点,已成为当前在电梯控制系统中使用最多的控制方式,更新换代生产更新型的电梯,当前也广泛用于传统继电器控

12、制系统的技术改造。 FPGA(FieldProgrammable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点,以其高可靠性和技术先进性,在电梯控制中得到广泛应用,从而使电梯由传统的继电器控制方式发展为计算机控制的一个重要方向,成为当前电梯控制和技术改造的热点之一3。 电梯作为高层建筑物的重要交通工具与人们的工作和生活日益紧密联系。因此本次设计的电梯控制系统对于现实生活有很大的意义。1.2 发展现状1

13、.2.1 国内发展现状当前,中国电子产品突飞猛进,这也使得中国国内的电梯产品在数量和质量都有了显著的提高。国内的速度控制的运行方式和理想运行曲线基本上和国外的差不多。考虑到经济性,现有国内的电梯控制系统,一般采用微机或可编程逻辑控制器对变频器进行多段速控制在电梯传动系统方面,对于新装客梯及旧梯改造项目,大多数采用了交流变压变频调速电梯。在电梯反馈系统方面,采用闭环速度反馈,利用套在电机轴上的增量编码器产生脉冲信号反馈给控制系统。在井道信号采集方面,当前国内电梯一般都采用增量编码器记数方式配合双稳态磁开关或光电开关来识别轿厢位置。 近年来,为保证电梯最终质量,在建立全国性完整的电梯管理法规、落实

14、检查机构、壮大安装调试队伍、组建维修保养网络和提高相关人员技术素质等方面,正在进行着一系列实质性的工作。中国电梯行业,正在走向法规化,加速步入世界先进行列。有些生产企业开发了紧急供电装置、放火厅们、地震控制、自检测以及语言合成等电梯新功能;对机械系统采用了新结构、新材料、新技术和新工艺。总之,与国外先进技术水平相比,虽然还存在一定差距,但国内电梯技术正以迅猛的发展速度赶超世界先进水平。 中国电梯在亚洲市场占有越来越重要的位置,每年销售量己达1万台左右,约占亚洲市场的1/50,一些合资企业在出口创汇方面也做出了贡献4。1.2.2 国外发展现状在电梯控制系统方面,当前,国外除了以交流电梯取代直流电

15、梯以外,在低层楼房越来越多的使用液压电梯。另外,家用小型电梯将成为电梯家族中新的组成部分。 国外发达国家的电梯正在推广32位微机控制系统。她们都采用闭环反馈单微处理机控制系统或多微处理机协调控制系统。在电梯传动系统方面,采用交流变压变频调速技术,实现电梯从超低速到高速无级调速的高精度运行,具有节能、对电网污染小、乘坐舒适感佳等优点。很多厂家在电梯反馈系统方面,采用了旋转编码器获得电梯轿厢位置信号,还有一些厂家则采用绝对值编码器从电梯轿厢上反馈位置信号给系统,对曳引电机进行以距离为原则的控制,以实现直接平层技术,以使得电梯的运行效果能够做到最好。 世界上有名的几家电梯公司,诸如:美国奥梯斯公司、

16、瑞士讯达公司、日本三菱和日立公司、芬兰科恩等,其电梯的产量已占世界市场的51%5。1.3 FPGA1.3.1 FPGA简介能够加文字说明,也能够不加文字说明。1.3.2 FPGA引脚分配控制系统采用“MAX+plus II”软件进行设计,具体步骤如下:1 新建一个文件夹本项设计的文件夹取名为dianti(文件夹名不能用中文)。2 创立源程序(1)启动MAX+plus II软件;(2)选择菜单“File/New”,在New窗口中的File Type中选择Text Editor File,然后在VHDL 文本编译窗中输入VHDL程序,文件存盘为maincontroller.vhd。3 工程编译(1

17、)在编译之前,设置此文件为顶层文件。选择菜单File/Project/Set Project to Current File,当前的工程即被设为Top。(2)编译。选择菜单“MAX+plus II/Compiler”菜单,按“START”键,运行编译器,以便编译器将对应的引脚信息调出,如图1-5所示。图1-5 编译器4 时序仿真对工程编译经过后,可对其功能和时序性质进行仿真测试,了解设计结果是否满足原设计要求。如图1-6点击Assign目录下的Device选择芯片,在这里选择EPF10K30EFC484-3。图1-6 选择器件然后在菜单下选择MAX+plusII菜单下的Floorplan Ed

18、itor。编辑引脚分配。插入表格的要求:表1-1 合金钢的化学成分与力学性能材料名称化学成分()力学性能CMnCr其它抗拉强度bN/mm2屈服强度s/N/mm2弹性模量E/N/mm2伸长率/布氏硬度/HBS表1-2 真值表1 插入公式的要求: (1-1)式中:是什么; 是什么;是什么。第2章 电梯控制器设计2.1 电梯控制系统组成2.2 主控制器2.2.1 信号寄存器2.2.2 核心控制器1 寄存器 (1)移位寄存器左移移位寄存器第3章 电梯控制器程序3.1 电梯主控制器程序设计3.2 电梯主控制器状态机设计1 状态机设计 (1)状态机图状态介绍第4章 电梯仿真4.1 MAX+plusII开发

19、系统4.1.1 MAX+plusII软件操作流程4.1.2 MAX+plusII开发系统的特点4.2 主控制器的仿真结论单击此处输入结论致谢参考文献1 崔忠金属学及热处理北京:机械工业出版社,1989:60-982 张安峰,陆文华高铬铸铁的氧化行为金属学报1993,29(6):263-2683 王颖镦粗新理论的研究哈尔滨工业大学硕士论文1992:8-134 J.R.McDonnell, D.Wagen. Evolving Recurrent Perceptions for Time-Se-ries Modeling. IEEE Trans. on Neural Networks. 1994,5

20、(1):24-385 X.Yao. Evolutionary Artifitial Neural Networks. J. of Neural Systems. 1933,(4): 203-2226 王代强FPGA的应用现代机械 ,(3):22-237 曹公正,陈娟,张宝利等FPGA在出租车记费器上的研究与设计长春工业大学学报 ,28(3):171-1758 林来兴空间控制技术北京:宇航出版社,1992:25-429 吴葳,洪炳熔自由浮游空间机器人捕捉目标的运动规划研究中国第五届机器人学术会议论文集哈尔滨,1997:75-8010 S.Niwa, M.Suzuki and K.Kimura. Electrical Shock Absorber for Docking System in Space. IEEE International Workshop on Intelligent Motion Control, Bogazici University, Istenbul. 1990:825-83011附录1 原理图#include “stdio.h”附录2 程序

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 学术论文 > 毕业论文/毕业设计

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服