收藏 分销(赏)

数字系统的设计与实验实验报告.doc

上传人:精*** 文档编号:3024045 上传时间:2024-06-13 格式:DOC 页数:10 大小:47.54KB
下载 相关 举报
数字系统的设计与实验实验报告.doc_第1页
第1页 / 共10页
数字系统的设计与实验实验报告.doc_第2页
第2页 / 共10页
数字系统的设计与实验实验报告.doc_第3页
第3页 / 共10页
数字系统的设计与实验实验报告.doc_第4页
第4页 / 共10页
数字系统的设计与实验实验报告.doc_第5页
第5页 / 共10页
点击查看更多>>
资源描述

1、数 字 系 统 设 计 和 实 验 学院: 专业: 班级: 学号: 姓名 指导老师 年12月 10 日试验一 原码反码发生器一 试验目标:1、 掌握组合逻辑电路基础设计方法。2、 学习波形仿真方法。3、 加深对最简单二进制原码、反码了解,灵活利用基础逻辑门。二 试验内容1、设计电路应含有以下功效:A包含以下端口: 一个选择信号端口,一个8位二进制输入端口,一个原码/反码输出端口。 B. 选择信号逻辑状态为0时输出原码;逻辑状态为1时输出反码。 2、完成电路设计。3、对设计正确性进行验证。三 试验要求1、列出所要实现功效真值表。输入端口输出端口cin(十六进制)Fincout01H001H02H

2、1FDH03H003H04H1FBH05H005H06H1F9H07H007H08H1F7H2、画出电路逻辑图。3、编写用VHDL语言描述源程序。library ieee;use ieee.std_logic_1164.all;entity shiyan1 isport (cin : in std_logic_vector(7 downto 0); fin : in std_logic; cout: out std_logic_vector(7 downto 0);end shiyan1;architecture behave of shiyan1 isbeginprocess(fin)beg

3、incase fin iswhen 1 = cout cout null;end case;end process;end behave;4、 在MAX 软件平台上完成编译和功效仿真。一、信号端口为0时二、信号端口为1总结:经过上个试验后,对maxplu件有了一定了解,对于 VHDL也愈加熟悉,首先结构真值表,画出逻辑电路图,然后编写程序生成仿真波形图。在编写程序时候也出现了部分错误,比如是将单个字符用双引号,结果编译通不过。老是报错。以后才检验出来。试验 二 4舍5入电路一 试验目标:1、掌握组合逻辑电路基础设计方法。2、熟练利用真值表。二 试验内容1、设计电路应含有以下功效:A包含以下端口

4、: 一个4位二进制输入端口,一个进位输出端口。 B. 即当输入数X大于或等于5时,进位输出端口输出F为1,反之,输出为0。 2、完成电路设计。3、对设计正确性进行验证。三 试验要求1、编写用VHDL语言描述源程序。library ieee;use ieee.std_logic_1164.all;entity shiyan2 isport (cin : in std_logic_vector(3 downto 0); f : out std_logic);end shiyan2;architecture behave2 of shiyan2 isbeginprocess(cin)begincas

5、e cin iswhen 0000 = f f f f f f f f f f null;end case;end process;end behave2;2、在MAX 软件平台上完成编译和功效仿真。电路逻辑图以下:仿真结果以下:总结:依据提醒中真值表确定输入输出接口,编写程序实现仿真,便得到了以上波形图,首先很轻易知道,当输入数大于等于5时就要进位,二F输出端便代表是进位,所以F取值只有0和1试验 三 四十六译码器一 试验目标:1、掌握组合逻辑电路基础设计方法。2、熟练利用VHDL语言次序语句和并发语句。3、熟练利用MAX软件提供仿真功效。二 试验内容1、设计电路应含有以下功效:A 包含以下

6、端口: 一个使能信号输入端口,四个选择信号输入端口,十六个驱动信号输出端口。 B 当使能信号为高电平时,对于四个选择信号组成任意一组状态,十六个驱动信号中有一个且仅有一个有效高电平输出。 当使能信号为低电平时,十六个驱动信号全部输出低电平。2、完成电路设计。3、对设计正确性进行验证。三 试验要求1、编写用VHDL语言描述源程序library ieee;use ieee.std_logic_1164.all;entity shiyan3 isport (G : in std_logic;A : in std_logic_vector(3 downto 0);Y : out std_logic_v

7、ector(15 downto 0);end shiyan3;architecture behave3 of shiyan3 isbeginprocess(G, A)beginfor i in 0 to 15 loopY(i) Y(0) Y(1) Y(2) Y(3) Y(4) Y(5) Y(6) Y(7) Y(8) Y(9) Y(10) Y(11) Y(12) Y(13) Y(14) Y(15) null;end case;elsefor i in 0 to 15 loopY(i) = 0;end loop;end if;end process;end behave3;2、 在MAX 软件平台上完成编译和功效仿真。电路逻辑图以下:仿真效果以下:总结:我是参考三八译码器来设计,先结构真值表,然后编写程序,程序在编译时候碰到了尤其多错误,不过经过调试也成功生成了正确波形图。加深了对VHDl了解,和对maxplus认识。

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 包罗万象 > 大杂烩

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服