ImageVerifierCode 换一换
格式:DOC , 页数:10 ,大小:47.54KB ,
资源ID:3024045      下载积分:4 金币
验证码下载
登录下载
邮箱/手机:
验证码: 获取验证码
温馨提示:
支付成功后,系统会自动生成账号(用户名为邮箱或者手机号,密码是验证码),方便下次登录下载和查询订单;
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

开通VIP
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.zixin.com.cn/docdown/3024045.html】到电脑端继续下载(重复下载【60天内】不扣币)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  
声明  |  会员权益     获赠5币     写作写作

1、填表:    下载求助     索取发票    退款申请
2、咨信平台为文档C2C交易模式,即用户上传的文档直接被用户下载,收益归上传人(含作者)所有;本站仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。所展示的作品文档包括内容和图片全部来源于网络用户和作者上传投稿,我们不确定上传用户享有完全著作权,根据《信息网络传播权保护条例》,如果侵犯了您的版权、权益或隐私,请联系我们,核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
3、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据,平台无法对文档的真实性、完整性、权威性、准确性、专业性及其观点立场做任何保证或承诺,下载前须认真查看,确认无误后再购买,务必慎重购买;若有违法违纪将进行移交司法处理,若涉侵权平台将进行基本处罚并下架。
4、本站所有内容均由用户上传,付费前请自行鉴别,如您付费,意味着您已接受本站规则且自行承担风险,本站不进行额外附加服务,虚拟产品一经售出概不退款(未进行购买下载可退充值款),文档一经付费(服务费)、不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
5、如你看到网页展示的文档有www.zixin.com.cn水印,是因预览和防盗链等技术需要对页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有水印标识(原文档上传前个别存留的除外),下载后原文更清晰;试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓;PPT和DOC文档可被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;PDF文档不管是原文档转换或图片扫描而得,本站不作要求视为允许,下载前自行私信或留言给上传者【精***】。
6、本文档所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用;网站提供的党政主题相关内容(国旗、国徽、党徽--等)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
7、本文档遇到问题,请及时私信或留言给本站上传会员【精***】,需本站解决可联系【 微信客服】、【 QQ客服】,若有其他问题请点击或扫码反馈【 服务填表】;文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“【 版权申诉】”(推荐),意见反馈和侵权处理邮箱:1219186828@qq.com;也可以拔打客服电话:4008-655-100;投诉/维权电话:4009-655-100。

注意事项

本文(数字系统的设计与实验实验报告.doc)为本站上传会员【精***】主动上传,咨信网仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知咨信网(发送邮件至1219186828@qq.com、拔打电话4008-655-100或【 微信客服】、【 QQ客服】),核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载【60天内】不扣币。 服务填表

数字系统的设计与实验实验报告.doc

1、数 字 系 统 设 计 和 实 验 学院: 专业: 班级: 学号: 姓名 指导老师 年12月 10 日试验一 原码反码发生器一 试验目标:1、 掌握组合逻辑电路基础设计方法。2、 学习波形仿真方法。3、 加深对最简单二进制原码、反码了解,灵活利用基础逻辑门。二 试验内容1、设计电路应含有以下功效:A包含以下端口: 一个选择信号端口,一个8位二进制输入端口,一个原码/反码输出端口。 B. 选择信号逻辑状态为0时输出原码;逻辑状态为1时输出反码。 2、完成电路设计。3、对设计正确性进行验证。三 试验要求1、列出所要实现功效真值表。输入端口输出端口cin(十六进制)Fincout01H001H02H

2、1FDH03H003H04H1FBH05H005H06H1F9H07H007H08H1F7H2、画出电路逻辑图。3、编写用VHDL语言描述源程序。library ieee;use ieee.std_logic_1164.all;entity shiyan1 isport (cin : in std_logic_vector(7 downto 0); fin : in std_logic; cout: out std_logic_vector(7 downto 0);end shiyan1;architecture behave of shiyan1 isbeginprocess(fin)beg

3、incase fin iswhen 1 = cout cout null;end case;end process;end behave;4、 在MAX 软件平台上完成编译和功效仿真。一、信号端口为0时二、信号端口为1总结:经过上个试验后,对maxplu件有了一定了解,对于 VHDL也愈加熟悉,首先结构真值表,画出逻辑电路图,然后编写程序生成仿真波形图。在编写程序时候也出现了部分错误,比如是将单个字符用双引号,结果编译通不过。老是报错。以后才检验出来。试验 二 4舍5入电路一 试验目标:1、掌握组合逻辑电路基础设计方法。2、熟练利用真值表。二 试验内容1、设计电路应含有以下功效:A包含以下端口

4、: 一个4位二进制输入端口,一个进位输出端口。 B. 即当输入数X大于或等于5时,进位输出端口输出F为1,反之,输出为0。 2、完成电路设计。3、对设计正确性进行验证。三 试验要求1、编写用VHDL语言描述源程序。library ieee;use ieee.std_logic_1164.all;entity shiyan2 isport (cin : in std_logic_vector(3 downto 0); f : out std_logic);end shiyan2;architecture behave2 of shiyan2 isbeginprocess(cin)begincas

5、e cin iswhen 0000 = f f f f f f f f f f null;end case;end process;end behave2;2、在MAX 软件平台上完成编译和功效仿真。电路逻辑图以下:仿真结果以下:总结:依据提醒中真值表确定输入输出接口,编写程序实现仿真,便得到了以上波形图,首先很轻易知道,当输入数大于等于5时就要进位,二F输出端便代表是进位,所以F取值只有0和1试验 三 四十六译码器一 试验目标:1、掌握组合逻辑电路基础设计方法。2、熟练利用VHDL语言次序语句和并发语句。3、熟练利用MAX软件提供仿真功效。二 试验内容1、设计电路应含有以下功效:A 包含以下

6、端口: 一个使能信号输入端口,四个选择信号输入端口,十六个驱动信号输出端口。 B 当使能信号为高电平时,对于四个选择信号组成任意一组状态,十六个驱动信号中有一个且仅有一个有效高电平输出。 当使能信号为低电平时,十六个驱动信号全部输出低电平。2、完成电路设计。3、对设计正确性进行验证。三 试验要求1、编写用VHDL语言描述源程序library ieee;use ieee.std_logic_1164.all;entity shiyan3 isport (G : in std_logic;A : in std_logic_vector(3 downto 0);Y : out std_logic_v

7、ector(15 downto 0);end shiyan3;architecture behave3 of shiyan3 isbeginprocess(G, A)beginfor i in 0 to 15 loopY(i) Y(0) Y(1) Y(2) Y(3) Y(4) Y(5) Y(6) Y(7) Y(8) Y(9) Y(10) Y(11) Y(12) Y(13) Y(14) Y(15) null;end case;elsefor i in 0 to 15 loopY(i) = 0;end loop;end if;end process;end behave3;2、 在MAX 软件平台上完成编译和功效仿真。电路逻辑图以下:仿真效果以下:总结:我是参考三八译码器来设计,先结构真值表,然后编写程序,程序在编译时候碰到了尤其多错误,不过经过调试也成功生成了正确波形图。加深了对VHDl了解,和对maxplus认识。

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服