收藏 分销(赏)

常用EDA工具软件.ppt

上传人:天**** 文档编号:2708368 上传时间:2024-06-04 格式:PPT 页数:69 大小:3.20MB
下载 相关 举报
常用EDA工具软件.ppt_第1页
第1页 / 共69页
常用EDA工具软件.ppt_第2页
第2页 / 共69页
常用EDA工具软件.ppt_第3页
第3页 / 共69页
常用EDA工具软件.ppt_第4页
第4页 / 共69页
常用EDA工具软件.ppt_第5页
第5页 / 共69页
点击查看更多>>
资源描述

1、常用EDA工具软件6/3/202425.1 MAX+plus5.1.1 MAX+PLUS 的安装的安装 1.运行运行setup.exe2.遵守协议遵守协议3.3.授权(授权(LICENSE)文件)文件 复制名称为复制名称为ALTERA.DAT的的LICENSE文件,到文件,到MAX+plus II的安装目录下(如的安装目录下(如c:maxplus2)。运行)。运行MAX+plus II,进入,进入MAX+plus II集成环境,选择集成环境,选择OptionLicense Setup菜单,弹出一个对话框。按菜单,弹出一个对话框。按Browse按钮,可以选择,此时选择前面复制时进入的授按钮,可以

2、选择,此时选择前面复制时进入的授权文件即可。权文件即可。6/3/20243MAX+plus软件授权操作提示对话框软件授权操作提示对话框 6/3/202445.1.2 MAX+plus原理图输入法原理图输入法 用用MAX+plus II的的原原理理图图输输入入设设计计法法进进行行数数字字系系统统设设计计时时,不不需需要要任任何何硬硬件件描描述述语语言言知知识识,在在掌掌握握了了数数字字逻逻辑辑电电路路的的基基本本知知识识后后,即即可可使使用用MAX+plus II提提供供EDA平平台台,设设计计数字电路。数字电路。为了方便电路设计,设计者首先应当在计算机中建立为了方便电路设计,设计者首先应当在计

3、算机中建立自己的工程目录。例如,将自己的全部自己的工程目录。例如,将自己的全部EDA设计文件放在设计文件放在d:myeda文件夹中,而为图形编辑设计建立文件夹中,而为图形编辑设计建立d:myedamygdf文件夹,为文件夹,为VHDL文本编辑设计建立文本编辑设计建立d:myedamyvhdl等。等。6/3/20245编辑原理图编辑原理图编译设计文件编译设计文件功能仿真功能仿真产生元件符号产生元件符号引脚锁定引脚锁定时序仿真时序仿真硬件调试硬件调试编程下载编程下载MAX+plus 原理图输入的基本操作原理图输入的基本操作6/3/202461.编辑图形设计文件编辑图形设计文件 执行执行“File”

4、“New”命令,弹出编辑文件类型对话框,命令,弹出编辑文件类型对话框,选择选择“Graphic Editor file”后单击后单击“OK”按钮。按钮。6/3/20247MAX+plus的图形编辑界面的图形编辑界面6/3/20248在原理图编辑窗中的任何一个位置上双击鼠在原理图编辑窗中的任何一个位置上双击鼠标的左键,将弹出一个元件选择窗,或者点鼠标右标的左键,将弹出一个元件选择窗,或者点鼠标右键,选择输入元件项键,选择输入元件项Enter Symbol,也可以出现,也可以出现这个元件选择窗。这个元件选择窗。由此输入所需要的元件名用户自己设置的元件库基本逻辑元件库老式宏函数元件库参数可设置的强函

5、数元件库基本逻辑元件库中的元件6/3/20249半加器(半加器(h_adder.gdf)设计项目示意图)设计项目示意图6/3/2024102.编译设计图形文件编译设计图形文件设计好的图形文件一定要通过设计好的图形文件一定要通过MAX+plusII的编译。在的编译。在MAX+plus集成环境下,执行集成环境下,执行“MAX+plus”菜单下的菜单下的“Compiler”命令,在弹出的编译对话框按命令,在弹出的编译对话框按“START”键,即可键,即可对对h_adder.gdf文件进行编译。文件进行编译。在编译中,在编译中,MAX+plusII自动完成编译网表提取自动完成编译网表提取(Compil

6、er Netlist Extractor)、数据库建立()、数据库建立(Database Builder)、逻辑综合()、逻辑综合(Logic Synthesizer)、逻辑分割)、逻辑分割(Partitioner)、适配()、适配(Fitter)、延时网表提取()、延时网表提取(Timing SNF Extractor)和编程文件汇编()和编程文件汇编(Assembler)等操作。)等操作。6/3/2024113.产生元件符号产生元件符号在在MAXplusII集成环境下,执行集成环境下,执行“File”菜单下的菜单下的“Create Default Symbol”命令,将通过编译的命令,将通

7、过编译的GDF文件产生一个元件文件产生一个元件符号,并保存在工程目录中。元件符号可以被其他图形设计文符号,并保存在工程目录中。元件符号可以被其他图形设计文件调用,实现多层次的系统电路设计。件调用,实现多层次的系统电路设计。半加器元件符号半加器元件符号6/3/2024124.功能仿真设计文件功能仿真设计文件仿真,也称为模拟(仿真,也称为模拟(Simulation),是对电路设计的一),是对电路设计的一种间接的检测方法。对电路设计的逻辑行为和功能进行模拟检种间接的检测方法。对电路设计的逻辑行为和功能进行模拟检测,可以获得许多设计错误及改进方面的信息。对于大型系统测,可以获得许多设计错误及改进方面的

8、信息。对于大型系统的设计,能进行可靠、快速、全面的仿真尤为重要的设计,能进行可靠、快速、全面的仿真尤为重要。仿真包括编辑波形文件、波形文件存盘和执行仿真文件仿真包括编辑波形文件、波形文件存盘和执行仿真文件等操作。等操作。6/3/2024135.编程下载设计文件编程下载设计文件上述的仿真仅是用来检查设计电路的逻辑功能是否正上述的仿真仅是用来检查设计电路的逻辑功能是否正确,与实际编程下载的目标芯片还没有联系。为了获得与目确,与实际编程下载的目标芯片还没有联系。为了获得与目标器件对应的、精确的时序仿真文件,在对文件编译前必须标器件对应的、精确的时序仿真文件,在对文件编译前必须选定设计项目的目标器件,

9、在选定设计项目的目标器件,在Max+plusII环境中主要选环境中主要选Altera公司的公司的FPGA或或CPLD。编程下载包括选择目标芯片、引脚锁定、编译和编程编程下载包括选择目标芯片、引脚锁定、编译和编程下载等操作。下载等操作。完成选择目标芯片、引脚锁定再编译后再进行的仿真完成选择目标芯片、引脚锁定再编译后再进行的仿真称为时序仿真,此时的仿真是针对具体的目标芯片进行的。称为时序仿真,此时的仿真是针对具体的目标芯片进行的。6/3/2024141)选择目标芯片)选择目标芯片执行执行“Assign”的的“Device”命令,选择下载芯片型号。在命令,选择下载芯片型号。在“Device Fami

10、ly”中选择中选择“FLE10K”,在,在Device列表中选择列表中选择“EPF10KLC84-4”芯片型号。芯片型号。6/3/2024152)锁)锁定引脚定引脚 选择选择EDAEDA实验实验/开发设备开发设备GW48GW48的实验结构图的实验结构图NO.6NO.6,用用“键键7 7”和和“键键8 8”分别作为分别作为A A、B B输入按键,接输入按键,接PIO12PIO12和和PIO13 PIO13 。用用“D8D8”和和“D7D7”作为设计电路的进位作为设计电路的进位COCO与和与和SOSO输出显示输出显示 接接PIO23PIO23和和PIO22PIO22 (PIO39/65PIO39/

11、65)。)。6.设计电路硬件调试设计电路硬件调试按实验板上的按实验板上的“模式选择模式选择”键,选择模式键,选择模式NONO.6,执行向,执行向EPF10K10编程下载配置后,按动编程下载配置后,按动GW48实验板上的高低电平输实验板上的高低电平输入键入键“键键7”和和“键键8”,得到,得到A、B不同的输入组合;观察不同的输入组合;观察“D8D8”和和“D7D7”发光二极管显示的结果是否正确。发光二极管显示的结果是否正确。6/3/2024165.1.3 原理图输入法的层次化设计原理图输入法的层次化设计 层层次次化化设设计计也也称称为为“自自底底向向上上”的的设设计计方方法法,即即将将一一个个大

12、大的的设设计计项项目目分分解解为为若若干干个个子子项项目目或或若若干干个个层层次次来来完完成成。先先从从底底层层的的电电路路设设计计开开始始,然然后后在在高高层层次次的的设设计计中中逐逐级级调调用用低低层层次次的的设设计计结结果果,直直至至最最后后系系统统电电路路的的实实现现。对对于于每每个个层层次次的的设设计计结结果果,都经过严格的仿真验证,尽量减少系统设计中的错误。都经过严格的仿真验证,尽量减少系统设计中的错误。例例4位加法器的设计位加法器的设计4位位加加法法器器由由4个个1位位全全加加器器构构成成,它它的的底底层层设设计计文文件件是是1位全加器。位全加器。6/3/202417 1位全加器

13、的原理图位全加器的原理图全加器元件符号全加器元件符号6/3/2024184位加法器原理图位加法器原理图6/3/2024195.2 ModelSim ModelSim是是一一种种快快速速而而又又方方便便的的HDL编编译译型型仿仿真真工工具具,支持支持VHDL和和Verilog HDL的编辑、编译和仿真。的编辑、编译和仿真。ModelSim有一系列产品,它们可以在有一系列产品,它们可以在Unix平台和平台和Windows平台上工作,目前主要分为平台上工作,目前主要分为MoelSim/VHDL(或(或ModelSim/Verilog-OEM)、)、MoelSim/LNL(支持(支持VHDL或或Ver

14、ilog的版本,但不同时支持的版本,但不同时支持VHDL和和Verilog)、)、ModelSim/PLUS(支持(支持VHDL和和Verilog的版本,包括混合仿的版本,包括混合仿真)和真)和ModelSim/SE(主要版本,功能比(主要版本,功能比ModelSim/Plus更更强大)等不同版本。强大)等不同版本。6/3/2024206.2.1 ModelSim的安装的安装ModelSim的安装分为软件安装和许可文件安装两个过的安装分为软件安装和许可文件安装两个过程。程。1.ModelSim软件安装软件安装插入插入ModelSimModelSim光盘后,执行光盘后,执行“setupsetup

15、”安装命令,根据屏幕安装命令,根据屏幕提示完成提示完成ModelSimModelSim的安装。安装结束后,将的安装。安装结束后,将License.datLicense.dat拷贝拷贝到到Modeltech_5.8c安装目录下,并将附在光盘上的安装目录下,并将附在光盘上的lmgr326b.dlllmgr326b.dll文件拷贝到文件拷贝到 Modeltech_5.8c WIN32 WIN32 目录下目录下替换原文件,重新启动计算机。替换原文件,重新启动计算机。6/3/2024212.ModelSim许可文件安装许可文件安装计计 算算 机机 的的“开开 始始”菜菜 单单 的的“程程 序序”选选 项

16、项 中中,选选 择择“ModelSim XE II Eval 5.8C”中中的的“Licening Wizard”命命令令,在在弹弹出出的的许许可可文文件件安安装装的的对对话话框框中中点点击击“Continue”按按钮钮,然然后后在在弹弹出出许许可可文文件件位位置置(License)对对话话框框中中输输入入“C:Modeltech_5.8cwin32license.dat”许可文件后按许可文件后按“OK”。许可文件安装对话框许可文件安装对话框6/3/2024226.2.2 ModelSim的使用方法的使用方法ModelSim是是Unix下的下的QuickHDL发展来,发展来,Windows版版

17、本的本的ModelSim保留了部分保留了部分Unix风格,可以使用键盘完成所有风格,可以使用键盘完成所有操作,但也提供了用户图形界面接口。操作,但也提供了用户图形界面接口。ModelSim有交互命令有交互命令方式(即在方式(即在ModelSim的主窗口通过输入命令实现编辑、编译的主窗口通过输入命令实现编辑、编译和仿真操作)、图形用户交互方式(即通过菜单进行交互)和和仿真操作)、图形用户交互方式(即通过菜单进行交互)和批处理方式(类似批处理方式(类似DOS批处理或批处理或Unix的的shell工作方式)等三工作方式)等三种执行方式。种执行方式。6/3/202423ModelSim启动后,首先呈现

18、出的主窗口(启动后,首先呈现出的主窗口(Main Windows)界面,主窗口中包括命令窗口()界面,主窗口中包括命令窗口(Transcript)、)、工作区(工作区(Workspace)和工具栏。在命令窗口中可以输入)和工具栏。在命令窗口中可以输入ModeSim的命令,并获得执行信息;在工作区中用树状列表的命令,并获得执行信息;在工作区中用树状列表(Tree List)的形式来观察库()的形式来观察库(Library)、项目源文件)、项目源文件(Project)和设计仿真的结构。)和设计仿真的结构。ModeSim的主窗口界面的主窗口界面工具栏工具栏工作区工作区命令窗口命令窗口6/3/2024

19、24在使用在使用ModelSim之前,应事先建立用户自己的工程文之前,应事先建立用户自己的工程文件夹,用于存放各种设计文件和仿真文件,然后还要建立件夹,用于存放各种设计文件和仿真文件,然后还要建立work库。在库。在VHDL中中work是默认的工作库,因此是默认的工作库,因此ModelSim中必须中必须首先建立一个首先建立一个work库。库。建立新项目对话框建立新项目对话框6/3/2024251.ModelSim编辑方法编辑方法在在ModelSim的主窗口界面,执行的主窗口界面,执行“File”“New”“Source”“VHDL”命令,进入命令,进入ModelSim的的VHDL(或(或Ver

20、ilog HDL)编辑方式。在)编辑方式。在ModelSim的编辑方式的编辑方式(source)界面输入十进制加法计数器的)界面输入十进制加法计数器的VHDL源程序,并用源程序,并用cnt4m.vhd为文件名,存入用户自己的工程文件夹中。为文件名,存入用户自己的工程文件夹中。进入进入ModelSim编辑方式的界面编辑方式的界面6/3/202426ModelSim编辑方式的界面编辑方式的界面6/3/2024272.ModelSim编译方法编译方法完成源程序的编辑后,在完成源程序的编辑后,在ModelSim编辑方式窗口执行编辑方式窗口执行“Tools”“Compile”命令,在弹出的编译命令,在弹

21、出的编译HDL源文件源文件(Compile HDL Source File)对话框中,按)对话框中,按“Compile”按钮,按钮,即可对源程序进行编译,编译成功后,即可对源程序进行编译,编译成功后,cnt10m的设计实体就的设计实体就会出现在会出现在work库中。库中。ModelSim的编译方式界面的编译方式界面6/3/2024283.ModelSim仿真方法仿真方法ModelSim仿仿真真包包括括装装载载设设计计文文件件、设设置置激激励励信信号号和和仿仿真真等等操操作过程。作过程。(1)装载设计文件)装载设计文件执行执行“Simulate”“Simulate”命令,在弹出的仿真文命令,在弹

22、出的仿真文件装载对话框中,用鼠标将件装载对话框中,用鼠标将work库中的库中的cnt10m点黑选中,然点黑选中,然后按后按“Add”键,再按键,再按“Load”键,即可完成设计文件的装载,此键,即可完成设计文件的装载,此时工作区会出现时工作区会出现“Sim”标签,表示装载成功。标签,表示装载成功。6/3/202429设计文件装载对话框设计文件装载对话框6/3/202430(2)设置仿真激励信号)设置仿真激励信号执行执行“View”“Signals”命令,打开信号(命令,打开信号(Signals)窗口,经过装载的十进制加法计数器设计电路的复位输入端窗口,经过装载的十进制加法计数器设计电路的复位输

23、入端clr、时钟输入端、时钟输入端clk和输出端和输出端cnt已在窗口内。已在窗口内。ModelSim的信号窗口的信号窗口6/3/202431将将ModelSim信号(信号(Signal)窗口中的复位输入信号)窗口中的复位输入信号clr用鼠标点亮选中后,执行用鼠标点亮选中后,执行“Edit”“force”命令,在弹出的被命令,在弹出的被选信号激励(选信号激励(Force Selected Signal)对话框中将)对话框中将“Value:”中中的数值改写为的数值改写为1,则为,则为clr当前赋当前赋1值。另外,值。另外,“Delay For:”中的中的值是信号赋值前的延迟时间,值是信号赋值前的

24、延迟时间,“Cancei After:”中的值是仿真取中的值是仿真取消后的值,这两个参数一般可以不填。被选激励信号的值确定消后的值,这两个参数一般可以不填。被选激励信号的值确定后,按后,按“OK”键结束键结束Force赋值操作。赋值操作。为为clr赋值的对话框赋值的对话框6/3/202432将将ModelSim的的Signal窗口中的时钟输入信号窗口中的时钟输入信号clk用鼠标点亮选中用鼠标点亮选中后,执行后,执行“Edit”“Clock”命令,在弹出的定义时钟(命令,在弹出的定义时钟(Define Clock)对话框中,时钟信号的主要参数基本设置好,其中对话框中,时钟信号的主要参数基本设置好

25、,其中“Period”参数是时钟的参数是时钟的周期,已经预先设置为周期,已经预先设置为100标准单位;标准单位;“Duty”参数是时钟波形的高电平持参数是时钟波形的高电平持续时间,已经预先设置为续时间,已经预先设置为50个标准单位,表示预先设置的个标准单位,表示预先设置的Clock的占空比的占空比为为50,即方波。另外,即方波。另外,“offset”参数是补偿时间,参数是补偿时间,“Cancel”参数是取消参数是取消时间。时间。Clock设置完毕后按设置完毕后按“OK”键确定。键确定。定义时钟对话框定义时钟对话框6/3/202433当全部激励信号设置完毕后,将当全部激励信号设置完毕后,将Mod

26、elSim的的Signal窗口中全部端口信号用鼠标点亮选中,然后执行窗口中全部端口信号用鼠标点亮选中,然后执行“Add”“Wave”“Selected Signals”命令,即可将选中的端命令,即可将选中的端口信号加入到口信号加入到ModelSim的波形(的波形(Wave)窗口中。)窗口中。选择端口信号操作示意图选择端口信号操作示意图6/3/202434(3)仿真设计文件)仿真设计文件执行执行“View”“Signals”命令,打开波形(命令,打开波形(Wave)窗口。)窗口。在在Wave窗口的工具栏中,各主要按键的功能如图所示。窗口的工具栏中,各主要按键的功能如图所示。表表示示驱驱动动器器停

27、停止止运运行行全全程程继继续续运运行行运运行行重重新新开开始始缩缩小小2x放放大大2x波形窗口波形窗口6/3/202435按动按动ModelSim的波形窗口上的的波形窗口上的“运行运行”按键,仿真波形按键,仿真波形将按照按一次键输出一个时钟周期的方式对设计电路进行仿将按照按一次键输出一个时钟周期的方式对设计电路进行仿真。在仿真期间,可以用真。在仿真期间,可以用ModelSim的的Signal窗口,改变复位窗口,改变复位信号信号clr的值,来验证复位信号的功能。的值,来验证复位信号的功能。十进制加法计数器十进制加法计数器cnt10m的仿真波形的仿真波形6/3/2024366.2.3 ModelS

28、im与与MAX+plusII的接口的接口ModelSim是一种快速仿真工具,但对设计文是一种快速仿真工具,但对设计文件的输入编辑与编译不如件的输入编辑与编译不如MAX+plusII那样方便快捷。那样方便快捷。因此,在因此,在EDA设计过程中,设计者常用设计过程中,设计者常用MAX+plusII来完成来完成HDL设计文件的输入编辑与编译,再用设计文件的输入编辑与编译,再用ModelSim对设计文件进行仿真。由于对设计文件进行仿真。由于ModelSim是是编译型仿真器,使用编译后的编译型仿真器,使用编译后的HDL库进行仿真,因此库进行仿真,因此在进行仿真前,必须编译所以待仿真的在进行仿真前,必须编

29、译所以待仿真的HDL文件成为文件成为仿真库,在编译时获得优化,提高仿真速度。仿真库,在编译时获得优化,提高仿真速度。6/3/202437编译时执行编译时执行“Compile”“Compile”命令,在弹出的命令,在弹出的在弹出的在弹出的“Compile HDL Source File”对话框的对话框的“查找范围:查找范围:”栏目输入或选择栏目输入或选择MAX+plusII设计文件夹,则该文件中的设计文件夹,则该文件中的HDL源文件将全部出现在对话框中,然后用鼠标将选中的源文件点源文件将全部出现在对话框中,然后用鼠标将选中的源文件点黑选中,或者直接把选中的源文件名输入黑选中,或者直接把选中的源文

30、件名输入“文件名文件名”栏目中,按栏目中,按“Compile”按钮,即可对源程序进行编译。编译成功后,选中按钮,即可对源程序进行编译。编译成功后,选中源文件的设计实体就会出现在源文件的设计实体就会出现在work库中。库中。ModelSim的编译方式界面的编译方式界面6/3/202438ModelSim仿仿真真属属于于功功能能仿仿真真,输输出出波波形形没没有有延延迟迟。为为了了对对设设计计文文件件进进行行门门级级时时序序仿仿真真,必必须须用用MAX+plusII对对设设计计文文件件进进行行综综合合和和适适配配,再再把把适适配配后后的的网网表表文文件件导导入入到到ModelSim仿真环境中进行门级

31、时序仿真。仿真环境中进行门级时序仿真。MAX+plusII支持多种支持多种VHDL和和Verilog HDL网表格式,网表格式,不同的网表格式不同的网表格式ModelSim仿真处理不同,因此在仿真处理不同,因此在MAX+plusII中还必须进行设置。在中还必须进行设置。在MAX+plusII对设计文件对设计文件进行编译时,可以执行进行编译时,可以执行“Interface”“VHDL Netlist Writer Settings”命令,对命令,对VHDL的网表格式进行设置;执行的网表格式进行设置;执行“Interface”“Verilog Netlist Writer Settings”命令,

32、对命令,对Verilog HDL的网表格式进行设置。在的网表格式进行设置。在MAX+plusII中已将中已将VHDL的网表格式默认设置为的网表格式默认设置为“VHDL Output”文件,将文件,将Verilog HDL的网表格式默认设置为的网表格式默认设置为“Verilog Output”文件。文件。6/3/202439在对在对VHDL或或Verilog HDL设计文件进行编译前,打开设计文件进行编译前,打开MAX+plusII 的的“Interface”界面,将界面,将“VHDL Netlist Writer”和和“Verilog Netlist Writer”选项前加上选项前加上“”,如

33、图所示,则在对设,如图所示,则在对设计文件进行编译后,生成计文件进行编译后,生成VHDL网表文件网表文件.vho,或者,或者Verilog HDL的网表文件的网表文件.vo。在在MAX+plus中进行设置的示意图中进行设置的示意图6/3/2024406.2.4 ModelSim交互命令方式仿真交互命令方式仿真ModelSim交交互互命命令令方方式式,是是在在ModelSim的的主主窗窗口口的的命命令令窗窗口口上上,通通过过输输入入命命令令来来实实现现的的,具具有有更更好好的的调调试试和和交交互互功功能能。ModelSim提提供供了了多多种种指指令令,既既可可以以单单步步,也也可可以以构构成成批

34、批处处理理文文件件,用用来来控控制制编编辑辑、编译和仿真流程。编译和仿真流程。ModelSim用于仿真的主要指令包括用于仿真的主要指令包括Run、Force、View等指令,其他指令可参考等指令,其他指令可参考ModelSim说说明书或帮助。明书或帮助。6/3/2024411.Run指令指令指令格式:指令格式:Run 其其中中,参参数数timesteps(时时间间步步长长)和和time_unit(时时间间单单位位)是是可可选选项项,time_unit可可以以是是fs(1015秒秒),ps(1012秒秒)ns(109秒)秒)ms(106秒),秒),sec(秒)这几种。(秒)这几种。指令功能:运行

35、(仿真)并指定时间及单元。指令功能:运行(仿真)并指定时间及单元。例如,例如,“run”表示运行;表示运行;“run 1000”表示运行表示运行1000个默认的个默认的时间单元(时间单元(ps););“run 2500 ns”表示运行表示运行2500ns;“run continue”表示继续运行;表示继续运行;“run all”表示运行全程。表示运行全程。6/3/2024422.force指令指令指指 令令 格格 式式:force ,其其中中,参参数数item_name 不不能能缺缺省省,它它可可以以是是端端口口信信号号,也也可可以以是是内内部部信信号号,且且还还支支持持通通配配符符号号,但但

36、只只能能匹匹配配一一个个;value 也也不不能能缺缺省省,其其类类型型必必须须与与item_name 一一致致;time是是可可选选项项,支持时间单元。支持时间单元。例如,例如,“force clr 1”表示为表示为clr赋值赋值1;“force clr 1 100”表示经表示经历历100个默认时间单元延迟后为个默认时间单元延迟后为clr赋值赋值1;“force clr 1,0 1000”表示为表示为clr赋值赋值1后经历后经历1000默认时间单元延迟后为默认时间单元延迟后为clr赋赋值值0。6/3/2024433.force repeat指令指令指指令令格格式式:force ,repeat

37、 指指令令功功能能:每每隔隔一一定定的的周周期期(period)重重复复一一定定的的force命命令令。该指令常用来产生时钟信号。该指令常用来产生时钟信号。例如,例如,“force clk 0 0,1 30-repeat 100”表示强制表示强制clk从从0时间时间单元开始,起始电平为单元开始,起始电平为0,结束电平为,结束电平为1,忽略时间(即,忽略时间(即0电平电平保持时间)为保持时间)为30个默认时间单元,周期为个默认时间单元,周期为100个默认时间单元,个默认时间单元,占空比为(占空比为(100-30)/100=70%。6/3/2024444.force cancel指令指令指令格式:

38、指令格式:force cancel 指令功能:执行指令功能:执行period周期时间后取消周期时间后取消force命令。命令。例如,例如,“force clk 0 0,1 30-repeat 60-cancel 1000”强制强制clk从从0时间单元开始,直到时间单元开始,直到1000个时间单元结束。个时间单元结束。5.View指令指令指令格式:指令格式:view 窗口名窗口名指令功能:打开指令功能:打开ModelSim的窗口。的窗口。例如,例如,“view souce”是打开源代码窗口;是打开源代码窗口;“view wave”是打开波形窗口;是打开波形窗口;“view list”是打开列表窗

39、口;是打开列表窗口;“view variables”是打开变量窗口;是打开变量窗口;“view signals”是打开信号窗口;是打开信号窗口;“view all”是打开所有窗口。是打开所有窗口。6/3/2024456.2.5 ModelSim批处理工作方式批处理工作方式如果采用单步指令来控制仿真流程,每次都要输入相应如果采用单步指令来控制仿真流程,每次都要输入相应的指令,是很繁琐的事情。的指令,是很繁琐的事情。ModelSim提供了一个简化方式,提供了一个简化方式,即可以把这些命令形成一个批处理文件后再执行。即可以把这些命令形成一个批处理文件后再执行。在在ModelSim的主窗口,执行的主窗

40、口,执行“File”“New”“Source”“DO”命令,进入命令,进入ModelSim的的DO文件编辑方式。在编辑窗口输入下列计数器仿真批处理文文件编辑方式。在编辑窗口输入下列计数器仿真批处理文件(件(cnt10m.do)的代码:)的代码:vsim t10mforce-freeze sim:/cnt10m/clk 1 0,0 50 ns-repeat 100/设置时钟设置时钟force-freeze sim:/cnt10m/rst 0,1 200,0 1000/设置复位信号设置复位信号force-freeze sim:/cnt10m/ena 1,0 2200,1 2600/设置使能信号设置

41、使能信号add wave-r/*run 100006/3/202446 完成计数器仿真批处理文件的编辑后,用完成计数器仿真批处理文件的编辑后,用“cnt10m.do”为文件名保存在与计数器设计文件相同的文件夹中(为文件名保存在与计数器设计文件相同的文件夹中(.do是是DO文件的属性后缀),并通过文件的属性后缀),并通过ModelSim的编译。的编译。在在ModelSim的命令窗口中执行的命令窗口中执行“do cnt10m.do”,完成对计,完成对计数器设计(数器设计(cnt10m)的仿真。)的仿真。6/3/2024476.3基于Matlab/DSP Builder的DSP模块设计 Matlab

42、是当前国际控制界最流行的面向工程与科学计是当前国际控制界最流行的面向工程与科学计算的高级语言。算的高级语言。Altera公司充分利用了公司充分利用了Matlab的优势,将的优势,将Quartus II与其进行无缝连接,完成与其进行无缝连接,完成DSP等复杂系统的设计。等复杂系统的设计。本节介绍利用本节介绍利用Matlab/DSP Builder工具进行工具进行DSP模块设计、模块设计、Matlab模型仿真、模型仿真、SignalCompiler使用方法、使用使用方法、使用Modelsim进行进行RTL级仿真、使用级仿真、使用Quartus II实现时序仿真、使用实现时序仿真、使用Quartus

43、 II硬件实现与测试。硬件实现与测试。下面以一个简单的正弦信号调制电路的设计为例,介绍下面以一个简单的正弦信号调制电路的设计为例,介绍基于基于Matlab/DSP Builder的的DSP开发技术。开发技术。5.3.1 设计原理设计原理 正弦信号调制电路电路由阶梯信号发生器模块正弦信号调制电路电路由阶梯信号发生器模块IncCounter、正弦函、正弦函数值查找表模块数值查找表模块SinLUT、延时模块、延时模块Delay、乘法器模块、乘法器模块Product、数据控、数据控制模块制模块DATAIN和输出模块和输出模块Output等等6个部分构成。阶梯信号发生器模块个部分构成。阶梯信号发生器模块

44、IncCounter产生线性递增的地址信号,送往产生线性递增的地址信号,送往SinLUT查找表。查找表。SinLUT是是一个正弦函数值的查找表模块,由递增的地址获得正弦波值输出,输出的一个正弦函数值的查找表模块,由递增的地址获得正弦波值输出,输出的8位正弦波数据经延时模块位正弦波数据经延时模块Delay后送往后送往Product乘法模块,与乘法模块,与DATAIN的的数据相乘生成正弦波调制的数字信号,由数据相乘生成正弦波调制的数字信号,由Output输出。输出。Output输出的数输出的数据送往据送往D/A即可获得正弦调制信号。即可获得正弦调制信号。正弦信号发生器原理图正弦信号发生器原理图5.

45、3.2 建立建立Matlab设计模型设计模型 利用利用Matlab建立建立DSP设计模型是基于设计模型是基于Matlab/DSP Builder的的DSP模块设计的主要过程。模块设计的主要过程。1.运行运行Matlab 启动后的启动后的Matlab软件界面面中有三个窗口,分别是命令窗口软件界面面中有三个窗口,分别是命令窗口(Command Windows)、工作区()、工作区(Workspace)、命令历史)、命令历史(Command History)。在命令窗口中,可以键入命令,同时得到响)。在命令窗口中,可以键入命令,同时得到响应信息、出错警告和提示等。应信息、出错警告和提示等。Matla

46、b软件界面软件界面工作区工作区命令历史命令历史命令窗口命令窗口2.新建一个模型文件新建一个模型文件 在在Matlab软件界面执行软件界面执行“File”菜单的菜单的“New”,在弹出的,在弹出的子菜单中选择子菜单中选择“model”方式,弹出建立方式,弹出建立MATLAB设计模型的设计模型的编辑窗口,设计电路的模型在此编辑窗口中完成编辑、分析、编辑窗口,设计电路的模型在此编辑窗口中完成编辑、分析、仿真控制和生成设计文件。仿真控制和生成设计文件。建立建立MATLAB设计模型的编辑窗口(右)和设计模型的编辑窗口(右)和Simulink库管理器窗口(左)库管理器窗口(左)SignalCompilde

47、r组件图标组件图标3.放置放置SignalCompiler图标图标 用鼠标左键点击用鼠标左键点击Library browser窗口左侧的库内树形窗口左侧的库内树形列表中的列表中的Altera DSP Builder条,展开条,展开DSP Builder库,再点库,再点击击AltLab项展开项展开AltLab库,用鼠标选中库管理器右侧的库,用鼠标选中库管理器右侧的SignalCompiler组件图标,按住鼠标左键将组件图标,按住鼠标左键将SignalCompiler图标拖动到新模型窗口中。图标拖动到新模型窗口中。SignalCompiler组件图标是一个组件图标是一个控制符号,用鼠标左键双击它时

48、,可以启动软件对编辑窗口控制符号,用鼠标左键双击它时,可以启动软件对编辑窗口中的设计模型(电路)进行分析,并引导进入下一步的编译、中的设计模型(电路)进行分析,并引导进入下一步的编译、适配和生成适配和生成HDL代码文件操作。代码文件操作。4.放置放置Increment Decrement模块模块 用鼠标将用鼠标将Altera DSP Builder中的中的Arithmetic库展开,库展开,把库中的把库中的Increment Decrement模块(图标)拖放到新建模模块(图标)拖放到新建模型编辑窗口中。型编辑窗口中。Increment Decrement是阶梯信号发生器模是阶梯信号发生器模块

49、,用鼠标左键点击块,用鼠标左键点击Increment Decrement模块下面的文字模块下面的文字“Increment Decrement”,就可以修改模块名字。用此方法,就可以修改模块名字。用此方法将模块名其修改为将模块名其修改为“IncCounter”。IncCounter模块图标及其参数设置对话框模块图标及其参数设置对话框5.放置放置SinLUT(正弦查找表)模块(正弦查找表)模块 用鼠标将用鼠标将Altera DSP Builder中的中的Gate&Control库展开,将库中的库展开,将库中的LUT模块拖放到新建模型编辑窗口,将模块的名字修改成模块拖放到新建模型编辑窗口,将模块的名

50、字修改成“SinLUT”。用鼠。用鼠标双击标双击SinLUT模块,弹出模块,弹出inLUT模块参数设置对话框。在此对话框中,把模块参数设置对话框。在此对话框中,把Bus Type(总线宽度)设置为(总线宽度)设置为“Unsigned Integer”(无符号整数);把(无符号整数);把Outputnumber of bits(输出位宽)设置为(输出位宽)设置为“8”;把;把LUT Address Width(查找表地址线位宽)设置为(查找表地址线位宽)设置为“6”。SinLUT模块图标及其参数设置对话框模块图标及其参数设置对话框6.放置放置Delay模块模块 用鼠标将用鼠标将Altera DS

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 考试专区 > 中考

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服