收藏 分销(赏)

公司笔试面试-模电数电知识.doc

上传人:w****g 文档编号:2253138 上传时间:2024-05-23 格式:DOC 页数:21 大小:500KB
下载 相关 举报
公司笔试面试-模电数电知识.doc_第1页
第1页 / 共21页
公司笔试面试-模电数电知识.doc_第2页
第2页 / 共21页
公司笔试面试-模电数电知识.doc_第3页
第3页 / 共21页
公司笔试面试-模电数电知识.doc_第4页
第4页 / 共21页
公司笔试面试-模电数电知识.doc_第5页
第5页 / 共21页
点击查看更多>>
资源描述

1、模拟电路1.基尔霍夫定理的内容是什么?(仕兰微电子)a.基尔霍夫电流定律:在电路的任一节点,流入、流出该节点电流的代数和为零b.基尔霍夫电压定律:在电路中的任一闭合电路,电压的代数和为零。 2.平板电容公式(C=S/4kd)。3.三极管曲线特性。4.描述反馈电路的概念,列举他们的应用。反馈,就是在电子系统中,把放大电路中的输出量(电流或电压)的一部分或全部,通过一定形式的反馈取样网络并以一定的方式作用到输入回路以影响放大电路输入量的过程。包含反馈作用的放大电路称为反馈放大电路。反馈的类型有:电压串联负反馈、电流串联负反馈、电压并联负反馈、电流并联负反馈。负反馈对放大器性能有四种影响:a.降低放

2、大倍数 b.提高放大倍数的稳定性,由于外界条件的变化(T,Vcc,器件老化等),放大倍数会变化,其相对变化量越小,则稳定性越高。C.减小非线性失真和噪声 d 改变了放大器的输入电阻Ri和输出电阻Ro 。对输入电阻ri的影响:串联负反馈使输入电阻增加,并联负反馈使输入电阻减小。对输出电阻ro的影响:电压负反馈使输出电阻减小,电流负反馈使输出电阻增加。负反馈的应用:电压并联负反馈,电流串联负反馈,电压串联负反馈和电流并联负反馈。电压负反馈的特点:电路的输出电压趋向于维持恒定。电流负反馈的特点:电路的输出电流趋向于维持恒定。引入负反馈的一般原则为:a. 为了稳定放大电路的静态工作点,应引入直流负反馈

3、;为了改善放大电路的动态性能,应引入交流负反馈(在中频段的极性)。b. 信号源内阻较小或要求提高放大电路的输入电阻时,应引入串联负反馈;信号源内阻较大或要求降低输入电阻时,应引入并联系反馈。c. 根据负载对放大电路输出电量或输出电阻的要求决定是引入电压还是电流负反馈。若负载要求提供稳定的信号电压或输出电阻要小,则应引入电压负反馈;若负载要求提供稳定的信号电流或输出电阻要大,则应引入电流负反馈。d. 在需要进行信号变换时,应根据四种类型的负反馈放大电路的功能选择合适的组态。例如,要求实现电流电压信号的转换时,应在放大电路中引入电压并联负反馈等。5.有源滤波器和无源滤波器的区别无源滤波器:这种电路

4、主要有无源元件R、L和C组成有源滤波器:集成运放和R、C组成,具有不用电感、体积小、重量轻等优点。集成运放的开环电压增益和输入阻抗均很高,输出电阻小,构成有源滤波电路后还具有一定的电压放大和缓冲作用。但集成运放带宽有限,所以目前的有源滤波电路的工作频率难以做得很高。6.什么是负载 ?什么又是带负载能力?把电能转换成其他形式的能的装置叫做负载。对于不同的负载,电路输出特性(输出电压,输出电流)几乎不受影响,不会因为负载的剧烈变化而变,这就是所谓的带载能力7.什么是输入电阻和输出电阻 ?在独立源不作用(电压源短路,电流源开路)的情况下,由端口看入,电路可用一个电阻元件来等效。这个等效电阻称为该电路

5、的输入电阻。从放大电路输出端看进去的等效内阻称为输出电阻Ro。8. 电压源、电流源是集成电路中经常用到的模块,请画出你知道的线路结构,简单描述 其优缺点。对于一个理想的电压源(包括电源),内阻应该为0,或理想电流源的阻抗应当为无穷大。9.什么叫差模信号?什么叫共模信号?画出差分电路结构两个大小相等、极性相反的一对信号称为差模信号。差动放大电路输入差模信号(uil =-ui2)时,称为差模输入。两个大小相等、极性相同的一对信号称为共模信号。差动放大电路输入共模信号(uil =ui2)时,称为共模输入。在差动放大器中,有用信号以差模形式输入,干扰信号用共模形式输入,那么干扰信号将被抑制的很小。共模

6、抑制比: 10.怎样理解阻抗匹配?阻抗匹配是指信号源或者传输线跟负载之间的一种合适的搭配方式。阻抗匹配分为低频和高频两种情况讨论。 低频:当负载电阻跟信号源内阻相等时,负载可获得最大输出功率,这就是我们常说的阻抗匹配之一。对于纯电阻电路,此结论同样适用于低频电路及高频电路。当交流电路中含有容性或感性阻抗时,结论有所改变,就是需要信号源与负载阻抗的的实部相等,虚部互为相反数,这叫做共扼匹配。在高频电路中,如果传输线的特征阻抗跟负载阻抗不相等(即不匹配)时,在负载端就会产生反射。为了不产生反射,负载阻抗跟传输线的特征阻抗应该相等,这就是传输线的阻抗匹配。11. 偏置:在电路某点给一个参考分量,使电

7、路能适应工作需要。12. 画电流偏置的产生电路,并解释。偏置电路:以常用的共射放大电路说吧,主流是从发射极到集电极的IC,偏流就是从发射极到基极的IB。相对与主电路而言,为基极提供电流的电路就是所谓的偏置电路。偏置电路往往有若干元件,其中有一重要电阻,往往要调整阻值,以使集电极电流在设计规范内。这要调整的电阻就是偏置电阻。13. 偏置电阻:在稳态时(无信号)通过电阻为电路提供或泄放一定的电压或电流,使电路满足工作需求,或改善性能。14. 什么是电压放大?什么是电流放大? 什么是功率放大?电压放大就是只考虑输出电压和输入电压的关系。比如说有的信号电压低,需要放大后才能被模数转换电路识别,这时就只

8、需做电压放大。 电流放大就是只考虑输出电流于输入电流的关系。比如说,对于一个uA级的信号,就需要放大后才能驱动一些仪器进行识别(如生物电子),就需要做电流放大。功率放大就是考虑输出功率和输入功率的关系。 其实实际上,对于任何以上放大,最后电路中都还是有电压,电流,功率放大的指标在,叫什么放大,只是重点突出电路的作用而已。15. 推挽结构的实质是什么?一般是指两个三极管分别受两互补信号的控制,总是在一个三极管导通的时候另一个截止.要实现线与需要用OC(open collector)门电路 .如果输出级的有两个三极管,始终处于一个导通、一个截止的状态,也就是两个三级管推挽相连,这样的电路结构称为推

9、拉式电路或图腾柱(Totem-pole)输出电路16. RC振荡器的构成和工作原理由放大器和正反馈网络两部分构成。反馈电路由三节RC移相网络构成(图3),每节移相不超过90,对某一频率共可移相180,再加上单管放大电路的反相作用即可构成正反馈,产生振荡。移相振荡器电路简单,适于轻便型测试设备和遥控设备使用,但输出波形差,频率难于调整,幅度也不稳定。17. 电路的谐振如果外加交流电源的频率和L-C回路的固有频率相同时,回路中产生的电流最大,回路L中的磁场能和C中的电场能恰好自成系统,在电路内部进行交换,最大限度的从电源吸取能量,而不会有能量返回电源,这就叫谐振。18.描述CMOS电路中闩锁效应产

10、生的过程及最后的结果?Latch-up 闩锁效应,又称寄生PNPN效应或可控硅整流器( SCR, Silicon Controlled Rectifier )效应。在整体硅的CMOS管下,不同极性搀杂的区域间都会构成P-N结,而两个靠近的反方向的P-N结就构成了一个双极型的晶体三极管。因此CMOS管的下面会构成多个三极管,这些三极管自身就可能构成一个电路。这就是MOS管的寄生三极管效应。如果电路偶尔中出现了能够使三极管开通的条件,这个寄生的电路就会极大的影响正常电路的运作,会使原本的MOS电路承受比正常工作大得多的电流,可能使电路迅速的烧毁。Latch-up状态下器件在电源与地之间形成短路,造

11、成大电流、EOS(电过载)和器件损坏。19. 选择电阻时要考虑什么?考虑电阻的 阻值(最大,最小) 熔点 是否方便安装20. 电路的谐振如果外加交流电源的频率和L-C回路的固有频率相同时,回路中产生的电流最大,回路L中的磁场能和C中的电场能恰好自成系统,在电路内部进行交换,最大限度的从电源吸取能量,而不会有能量返回电源,这就叫谐振。19. 旁路电容 可将混有高频电流和低频电流的交流电中的高频成分泄露掉的电容,称做“旁路电容”。20. 戴维南定理:一个含独立源、线性电阻和受控源的二端电路,对其两个端子来说都可等效为一个理想电压源串联内阻的模型。其理想电压源的数值为有源二端电路的两个端子的开路电压

12、,串联的内阻为内部所有独立源等于零时两端子间的等效电阻。21.无源器件在模拟和数字电路中加以信号不会改变自已本身的基本特性.如电阻. 有源器件在模拟和数字电路中加以信号可以改变自已本身的基本特性.如三极管.22. 旁路电容 可将混有高频电流和低频电流的交流电中的高频成分泄露掉的电容,称做“旁路电容”。23.场效应和晶体管比较:a.在环境条件变化大的场合,采用场效应管比较合适。b.场效应管常用来做前置放大器,以提高仪器设备的输入阻抗,降低噪声等。c.场效应管放大能力比晶体管低。d.工艺简单,占用芯片面积小,适宜大规模集成电路。在脉冲数字电路中获得更广泛的应用。24.基本放大电路的组成原则:a.发

13、射结正偏,集电结反偏。b.输入回路的接法应该使输入信号尽量不损失地加载到放大器的输入端。c.输出回路的接法应该使输出信号尽可能地传送到负载上。25.实现放大的条件晶体管必须偏置在放大区。发射结正偏,集电结反偏。正确设置静态工作点,使整个波形处于放大区。输入回路将变化的电压转化成变化的基极电流。输出回路将变化的集电极电流转化成变化的集电极电压,经电容滤波只输出交流信号。26.共射,共基和共集放大电路图27.静态:放大电路不加输入信号,电路中各处的电压、电流都是固定不变的直流量,这时电路处于直流工作状态,简称静态。直流通路:电容开路,电感短路,信号源短路,保留其内阻交流通路:电容短路,电感开路28

14、.功放要求:a.输出功率尽可能大。b.高效率 c.非线形失真小 d.晶体管的散热和保护29.甲类功放,乙类互补对称功放和甲乙类互补对称功放特点和电路图。恒流源的作用1. 恒流源相当于阻值很大的电阻。30.频率补偿所谓频率补偿,就是指提高或降低某一特定频率的信号的强度,用来弥补信号处理过程中产生的该频率的减弱或增强。常用的有负反馈补偿、发射极电容补偿、电感补偿等。31.虚短:集成运放的两个输入端之间的电压通常接近于零,若把它理想化,则看做零,但不是短路,故称“虚短”。虚断:集成运放的两个输入端几乎不取用电流,如果把他理想化,则看作电流为零,但不是断开,故称“虚断”32.基本放大电路种类(电压放大

15、器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。放大电路的作用:放大电路是电子技术中广泛使用的电路之一,其作用是将微弱的输入信号(电压、电流、功率)不失真地放大到负载所需要的数值。放大电路种类:(1)电压放大器:输入信号很小,要求获得不失真的较大的输出压,也称小信号放大器;(2)功率放大器:输入信号较大,要求放大器输出足够的功率,也称大信号放大器。差分电路是具有这样一种功能的电路。该电路的输入端是两个信号的输入,这两个信号的差值,为电路有效输入信号,电路的输出是对这两个输入信号之差的放大。设想这样一种情景,如果存在干扰信号,会对两个输入信号产生相同的干扰,通过二

16、者之差,干扰信号的有效输入为零,这就达到了抗共模干扰的目的。33.锁相环有哪几部分组成?锁相,顾名思义,就是将相位锁住,把频率锁定在一个固定值上。锁相环,就是将相位锁定的回路。锁相环由相位检测器 PD + 分频器 + 回路滤波器 + 压控振荡器 VCO,等组成。锁相环的工作原理:1、压控振荡器的输出经过采集并分频;2、和基准信号同时输入鉴相器;3、鉴相器通过比较上述两个信号的频率差,然后输出一个直流脉冲电压;4、控制VCO,使它的频率改变;5、这样经过一个很短的时间,VCO 的输出就会稳定于某一期望值。锁相环是一种相位负反馈系统,它利用环路的窄带跟踪与同步特性将鉴相器一端VCO的输出相位与另一

17、端晶振参考的相位保持同步,实现锁定输出频率的功能,同时可以得到和参考源相同的频率稳定度。一个典型的频率合成器原理框图如图所示。设晶振的输出频率为fr,VCO输出频 率为fo,则它们满足公式:34.什么是零点漂移?怎样抑制零点漂移?零点漂移,就是指放大电路的输入端短路时,输出端还有缓慢变化的电压产生,即输出电压偏离原来的起始点而上下漂动。抑制零点漂移的方法一般有:采用恒温措施;补偿法(采用热敏元件来抵消放大管的变化或采用特性相同的放大管构成差分放大电路);采用直流负反馈稳定静态工作点;在各级之间采用阻容耦合或者采用特殊设计的调制解调式直流放大器等。35.给出一个差分运放,如何相位补偿,并画补偿后

18、的波特图一般对于两级或者多级的运放才需要补偿。一般采用密勒补偿。例如两级的全差分运放和两级的双端输入单端输出的运放,都可以采用密勒补偿,在第二级(输出级)进行补偿。区别在于:对于全差分运放,两个输出级都要进行补偿,而对于单端输出的两级运放,只要一个密勒补偿。36.频率响应,如:怎么才算是稳定的,如何改变频率响应曲线的几个方法频率响应通常亦称频率特性,频率响应或频率特性是衡量放大电路对不同频率输入信号适应能力的一项技术指标。实质上,频率响应就是指放大器的增益与频率的关系。通常讲一个好的放大器,不但要有足够的放大倍数,而且要有良好的保真性能,即:放大器的非线性失真要小,放大器的频率响应要好。“好”

19、:指放大器对不同频率的信号要有同等的放大。之所以放大器具有频率响应问题,原因有二:一是实际放大的信号频率不是单一的;二是放大器具有电抗元件和电抗因素。由于放大电路中存在电抗元件(如管子的极间电容,电路的负载电容、分布电容、耦合电容、射极旁路电容等),使得放大器可能对不同频率信号分量的放大倍数和相移不同。如放大电路对不同频率信号的幅值放大不同,就会引起幅度失真;如放大电路对不同频率信号产生的相移不同就会引起相位失真。幅度失真和相位失真总称为频率失真,由于此失真是由电路的线性电抗元件(电阻、电容、电感等)引起的,故不称为线性失真。为实现信号不失真放大所以要需研究放大器的频率响应。37.画出由运放构

20、成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的运放电路。38. SRAM:静态RAM;DRAM:动态RAM;SSRAM:Synchronous Static Random Access Memory同步静态随机访问存储器。它的一种类型的SRAM。SSRAM的所有访问都在时钟的上升/下降沿启动。地址、数据输入和其它控制信号均于时钟信号相关。这一点与异步SRAM不同,异步SRAM的访问独立于时钟,数据输入和输出都由地址的变化控制。SDRAM:Synchronous DRAM同步动态随机存储器n名词IRQ,BIOS,USB,VHDL,SDR SDR: Single Data Rate

21、 IRQ: Interrupt ReQuest BIOS: Basic Input Output SystemUSB: Universal Serial Bus VHDL: VHIC Hardware Description Language39.压控振荡器的英文缩写(VCO)。 名词解释,比如PCI、ECC、DDR、interrupt、pipeline IRQ,BIOS,USB,VHDL,VLSI VCO(压控振荡器) RAM (动态随机存储器),FIR IIR DFT(离散傅立叶变换)或者是中文的,比如:a.量化误差 b.直方图 c.白平衡 40.LC正弦波振荡器有哪几种三点式振荡电路,分

22、别画出其原理图。电感三点式振荡器和电容三点式振荡器。41. 什么是三态与非门(TSL)?答:三态与非门有三种状态:(1)门导通,输出低电平。(2)门截止,输出高电平。(3)禁止状态或称高阻状态、悬浮状态,此为第三态。三态门的一个重要用途,就是可向同一条导线(或称总线Y)上轮流传送几组不同的数据或控制信号,如图2-17所示。当E1、E2、E3轮流接低电平时,Al、Bl、A2、B2、A3、B3三组数据轮流按与非关系传送到总线Y上;而当各门控制端E1、E2、E3为高电平时,门为禁止状态,相当于与总线Y断开,数据A、B不被传送。42.场效应管是电流、还是电压控制器件? 电压控制器件43.晶体管工作在放

23、大区,发射结、集电结怎么偏置的 发射结 集电结 放大区 正偏 反偏 饱和区 正偏 正偏 截至区 反偏 反偏 44.差分放大电路的功能: 放大两个输入信号之差45. 在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管 还是N管,为什么?46.什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目) OTP means one time program,一次性编程MTP means multi time program,多次性编程OTP(One Time Program)是MCU的一种存储器类型MCU按其存储器类型可分为MASK(掩模)ROM、OTP(一次性可编程)

24、ROM、FLASHROM等类型。MASKROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;FALSHROM的MCU程序可以反复擦写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途;OTP ROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功能不断翻新、需要迅速量产的电子产品。47. 什么是集电极开路与非门(OC门)?答:OC门和普通的TTL与非门所不同的是,它用一个外接电阻RL来代替由VT3、VT4组成的有源负载,实现与非门逻辑功能, OC门逻辑功能灵活,应用广泛。48. 什么是TTL集成

25、电路?答:TTL集成电路是一种单片集成电路。在这种集成电路中,一个逻辑电路的所有元器件和连线都制作在同一块半导体基片上。由于这种数字集成电路的输人端和输出端的电路结构形式采用了晶体管,所以一般称为晶体管一晶体管(Transistor-tranSiS-tor Logic)逻辑电路,简称TTL电路。49. 射极跟随器射极跟随器(又称射极输出器,简称射随器或跟随器)是一种共集接法的电路,它从基极输入信号,从射极输出信号。它具有高输入阻抗、低输出阻抗、输入信号与输出信号相位相同的特点。50. 戴维南定理:一个含独立源、线性电阻和受控源的二端电路,对其两个端子来说都可等效为一个理想电压源串联内阻的模型。

26、其理想电压源的数值为有源二端电路的两个端子的开路电压,串联的内阻为内部所有独立源等于零时两端子间的等效电阻。51窄沟道效应:由于边缘场的影响,沟道区耗尽层在沟道宽度两侧向场区有一定的扩张。当沟道宽度较大时,耗尽层向两侧的扩展部分可以忽略;但是沟道变窄时,边缘场造成的耗尽层扩展变得不可忽略,这样,耗尽层电荷量比原来计算的要大,这就产生了窄沟道效应52.MOS电路的特点:优点1. 工艺简单,集成度高。2. 是电压控制元件,静态功耗小。3.允许电源电压范围宽(318V)。4.扇出系数大,抗噪声容限大。缺点:工作速度比TTL低 。53给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出

27、端某点 的 rise/fall时间。54. 电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C上电压和R上电 压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤 波器。当RCT时,给出输入电压波形图,绘制两种电路的输出波形图。55. 设计一个线形电源。要求:输入220V市电,输出12V的直流电压,输出功率和效率不做要求,电路越简单越好。56半导体工艺中,掺杂有哪几种方式?(仕兰微面试题目)根据掺入的杂质不同,杂质半导体可以分为N型和P型两大类。 N型半导体中掺入的杂质为磷等五价元素,磷原子在取代原晶体结构中的原子并构成共价键时,多余的第五个价电子很容易摆

28、脱磷原子核的束缚而成为自由电子,于是半导体中的自由电子数目大量增加,自由电子成为多数载流子,空穴则成为少数载流子。P型半导体中掺入的杂质为硼或其他三价元素,硼原子在取代原晶体结构中的原子并构成共价键时,将因缺少一个价电子而形成一个空穴,于是半导体中的空穴数目大量增加,空穴成为多数载流子,而自由电子则成为少数载流子。数字电路1.组合逻辑:输出只是当前输入逻辑电平的函数(有延时),与电路的原始状态无关的逻辑电路。(无记忆)由与、或、非门组成的网络,常见的有多路器,数据通路开关,加法器,乘法器等。时序逻辑:输出不只是当前输入逻辑电平的函数,还与电路目前所处的状态有关的逻辑电路。(有记忆)由多个触发器

29、和多个组合逻辑块组成的网络,常见的有计数器,运算控制逻辑,指令分析和操作控制逻辑。2.流水线设计:把规模较大,层次较多的组合逻辑分为几个级,在每一级插入寄存器组并暂寸中间数据。在性能上的提高是以消耗较多的寄存器资源为代价的。3.同步时序逻辑:表示状态的寄存器组的值只可能在唯一确定的触发条件发生时改变,只能有时钟的正跳沿或负跳沿出发的状态机就是一例。异步时序逻辑:触发条件有多个控制因素组成,任何一个因素的跳变都可以引起触发。同步电路和异步电路的区别是什么?同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。异步电路:电路没有统一的

30、时钟,有些触发器的时钟输入端与时钟脉冲源相连,这有这些触发器的状态变化与时钟脉冲同步,而其他的触发器的状态变化不与时钟脉冲同步。4什么是Setup 和Holdup时间?(汉王笔试) 建立时间()是指在触发器的时钟上升沿到来以前,数据稳定不变的时间。如果建立时间不够,数据将不能在这个时钟上升沿被打入触发器;保持时间()是指在触发器的时钟上升沿到来以后,数据稳定不变的时间。如果保持时间不够,数据同样不能被打入触发器。数据稳定传输必须满足建立时间和保持时间的要求,否则电路就会出现逻辑错误。在同步电路设计中一般采用D触发器,异步电路设计中一般采用Latch5.模数转换器(ADC)模数转换指的是将输入的

31、模拟量转换为数字量输出,实现这种转换功能的电路称为模数转换器,简称ADC(Analog Digital Converter)。ADC按工作原理的不同可分为直接ADC和间接ADC。直接ADC有并联比较型和逐次渐进型等,直接ADC的转换速度快。间接ADC的转换速度慢,如双积分型ADC。并联比较型ADC、逐次渐进型ADC和双积分型ADC各有特点,应用在不同的场合。高速且精度要求不高,可以选用并联比较型ADC;低速、精度高且抗干扰强的场合,可以选用双积分型ADC;逐次渐进型ADC兼顾了两者的优点,速度较快、精度较高、价格适中,应用较为普遍。AD转换要经过采样、保持、量化和编码等过程。采样-保持电路对输

32、入模拟信号进行采样并保持,量化是对采样信号进行分级,编码则将分级后的信号转换成二进制代码。对模拟信号采样时,必须满足采样定理。6.数模转换器常见的数-模转换电路(DAC)有多种类型:权电阻网络DAC、倒T形电阻网络DAC、权电流网络DAC等。数模转换器将输入的二进制数字量转换成与之成正比的模拟量;模数转换器将输入的模拟电压转换成与之成正比的二进制数字量A/D转换=模拟/数字转换,意思是模拟讯号转换为数字讯号;D/A转换=数字/模拟转换,意思是数字讯号转换为模拟讯号;ADC=模拟/数字转换器,DAC=数字/模拟转换器7.A/D电路组成、工作原理。ADC电路通常由两部分组成,它们是:采样、保持电路

33、和量化、编码电路。其中量化、编码电路是最核心的部件,任何ADC转换电路都必须包含这种电路。ADC电路的形式很多,通常可以并为两类:间接法:它是将采样-保持的模拟信号先转换成与模拟量成正比的时间或频率,然后再把它转换位数字量。这种通常是采用时钟脉冲计数器,它又被称为计数器式。它的工作特点是:工作速度低,转换精度高,抗干扰能力强。直接法:通过基准电压与采样-保持信号进行比较,从而转换位数字量。它的工作特点是:工作速度高,转换精度容易保证。8.组合电路与时序电路区别组合逻辑电路是具有一组输出和一组输入的非记忆性逻辑电路,它的基本特点是任何时刻的输出信号状态仅取决于该时刻各个输入信号状态的组合,而与电

34、路在输入信号作用前的状态无关。组合电路是由门电路组成的,但不包含存储信号的记忆单元,输出与输入间无反馈通路,信号是单向传输,且存在传输延迟时间。组合逻辑电路的功能描述方法有真值表、逻辑表达式、逻辑图、卡诺图和波形图等。时序逻辑电路与组合逻辑电路不同,在逻辑功能及其描述方法、电路结构、分析方法和设计方法上都有区别于组合电路的明显特点。在时序逻辑电路中,任意时刻的输出信号不仅和当时的输入信号有关,而且还与电路原来的状态有关,这是时序逻辑电路在逻辑功能上的特点。因而时序逻辑电路必然包含存储记忆单元电路。描述时序电路逻辑功能的方法有:三个方程(输出方程、驱动方程(或激励函数)、状态方程)、状态转换表、

35、状态转换图和时序图等。9.什么是线与逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试) 线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现,由于不用 oc门可能使灌电流过大,而烧坏逻辑门。同时在输出端口应加一个上拉电阻。 10.你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?(汉王笔试) 常用逻辑电平:12V,5V,3.3V;TTL和CMOS不可以直接互连,由于TTL是在0.3-3.6V之间,而CMOS则是有在12V的有在5V的。CMOS输出接到TTL是可以直接互连。TTL接到CMOS需要在输出端口加一上拉电阻接到5V或者12V。CMOS门的VT= 0.5

36、VDD ,TTL门的VT一般在1.01.4V。CMOS门输出:高电平为VOH= VDD ,低电平为VOL=0V。TTL门输出:高电平为VOH=3.6V, 低电平为VOL=0.3V。 文章引用自: 11.如何解决亚稳态。(飞利浦大唐笔试) 亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态。当一个触发器进入亚稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平上。在这个稳定期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无用的输出电平可以沿信号通道上的各个触发器级联式传播下去。 13. 设计一个一位的全加器(输入加数A,B和低位的进位CI,输出Y和

37、向高位进位CO)要求使用器件为与门,或门,非门,异或门和同或门。14.MOORE 与 MEELEY状态机的特征。(南山之桥) 两种典型的状态机是摩尔(Moore)状态机和米立(Mealy)状态机。摩尔有限状态机输出只与当前状态有关,与输入信号的当前值无关,是严格的现态函数。在时钟脉冲的有效边沿作用后的有限个门延后,输出达到稳定值。即使在时钟周期内输入信号发生变化,输出也会保持稳定不变。从时序上看,Moore状态机属于同步输出状态机。Moore有限状态机最重要的特点就是将输入与输出信号隔离开来。Mealy状态机的输出是现态和所有输入的函数,随输入变化而随时发生变化。从时序上看,Mealy状态机属

38、于异步输出状态机,它不依赖于时钟。15. 什么是竞争与冒险?怎样判断?如何消除?在组合逻辑中,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致叫竞争。产生毛刺叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决方法:一是添加布尔式的消去项,二是在芯片外部加电容16.给了reg的setup,hold时间,求中间组合逻辑的delay范围。(飞利浦大唐笔试) Delay period - setup ? hold Delay T+T2max,T3holdT1min+T2min18.给出某个一般时序电路的图,有Tsetup,Tdelay,Tck-q,还有 clock的dela

39、y,写出决定最大时钟的因素,同时给出表达式。(威盛VIA 2003.11.06 上海笔试试题) 19.说说静态、动态时序模拟的优缺点。(威盛VIA 2003.11.06 上海笔试试题) T+TclkdealyTsetup+Tco+Tdelay; TholdTclkdelay+Tco+Tdelay;20.一个四级的Mux,其中第二级信号为关键信号如何改善timing。(威盛VIA2003.11.06 上海笔试试题) 21.静态和动态时序静态时序分析是采用穷尽分析方法来提取出整个电路存在的所有时序路径,计算信号在这些路径上的传播延时,检查信号的建立和保持时间是否满足时序要求,通过对最大路径延时和最

40、小路径延时的分析,找出违背时序约束的错误。它不需要输入向量就能穷尽所有的路径,且运行速度很快、占用内存较少,不仅可以对芯片设计进行全面的时序功能检查,而且还可利用时序分析的结果来优化设计,因此静态时序分析已经越来越多地被用到数字集成电路设计的验证中。动态时序模拟就是通常的仿真,因为不可能产生完备的测试向量,覆盖门级网表中的每一条路径。因此在动态时序分析中,无法暴露一些路径上可能存在的时序问题;22.给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入,使得输出依赖于关键路径。(未知) 23.触发器有几种(区别,优点),全加器等。 24.什么是正负逻辑?在数字电路中,一般用高

41、电平代表1、低电平代表0,即所谓的正逻辑系统。反之,用高电平代表0、低电平代表1,即所谓的负逻辑系统。25.化简F(A,B,C,D)= m(1,3,4,5,10,11,12,13,14,15)的和。(威盛) 26.为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大?(仕兰微电子)和载流子有关,P管是空穴导电,N管电子导电,电子的迁移率大于空穴,同样的电场下,N管的电流大于P管,因此要增大P管的宽长比,使之对称,这样才能使得两者上升时间下降时间相等、高低电平的噪声容限一样、充电放电的时间相等28. 时钟周期为T,触发器D1的建立时间最大为T1max,最小为T1min。组合逻辑电路最大延迟为T

42、2max,最小为T2min。问,触发器D2的建立时间T3和保持时间应满足什么条件。(华为) 29.画出CMOS的图,画出tow-to-one mux gate。(威盛VIA 2003.11.06 上海笔试试题) 30.怎样用D触发器、与或非门组成二分频电路?31名词解释:VLSI,CMOS,EDA,VHDL,Verilog,HDL,ROM,RAM,DRC,LVS,SRAM,DRAM,FLSAH,SSRAM,SDRAM,IRQ,BIOS,USB, SDR。由PMOS管和NMOS管共同构成的互补型MOS集成电路即为 CMOSsram:静态随机存储器,存取速度快,但容量小,掉电后数据会丢失;flas

43、h:闪存,存取速度慢,容量大,掉电后数据不会丢失;dram:动态随机存储器,必须不断的重新的加强(REFRESHED) 电位差量,否则电位差将降低至无法有足够的能量表现每一个记忆单位处于何种状态。价格比sram便宜,但访问速度较慢,耗电量较大,常用作计算机的内存使用;ssram:同步静态随机存储器;SDRAM:同步动态随机存储器;IRQ: Interrupt ReQuest; BIOS: Basic Input Output System;USB: Universal Serial Bus;SDR: Single Data Rate;压控振荡器的英文缩写(VCO)。 32简述CMOS工艺流程。

44、33画出CMOS非门,与非门和或非门的电路,并画出波形图简述其功能。非门工作原理: A为高电平,T1截止T2导通,L为低电平,符合非逻辑关系。与非门工作原理: A、 B同为高电平时T1 、T2截止, T3 、T4导通,L为低电平,符合与非逻辑关系。反之亦然。或非门工作原理:当A、B两个输入端均为低电平时,T1、T2截止,T3、T4导通,输出Y为高电平;当A、B两个输入端中有一个为高电平时,T1、T2中必有一个导通,T3、T4中必有一个截止,输出为低电平。 异或门电路:同或门电路:NMOS管的串联可实现“与逻辑”,并联可实现“或逻辑”,其输出是该逻辑的反。每个CMOS门电路都由互补的NMOS管和

45、PMOS管组合而成,且两互补的NMOS管、PMOS管的栅极连接在一起作为输入端。要实现“与逻辑”,可将相应的NMOS管组合串联;要实现“或逻辑”,可将NMOS管组合并联。NMOS管串联时,其对应的PMOS管一定并联;NMOS管并联时,其对应的PMOS管一定串联。34mos与非门,多余的输入、输出端该怎么接,悬空?接地?接高电位?门电路中多余的输入端一般不要悬空,因为干扰信号易从这些悬空端引入,使电路工作不稳定。与门和与非门:多余输入端接正电源或与有用输入端并接或门和或非门:多余输入端接地或与有用输入端并接CMOS电路多余输入端与有用输入端的并接仅适用于工作频率很低的场合。TTL 电路输入端悬空

46、时相当于输入高电平,CMOS 电路多余输入端不允许悬空。 35. 用逻辑门和cmos电路实现ab+cd。36什么是NMOS、PMOS、?什么是增强型、耗尽型?什么是PNP、NPN?他们有什么差别?(仕兰微面试题目)NMOS是指沟道在栅电压控制下p型衬底反型变成n沟道,靠电子的流动导电 ;PMOS是指n型p沟道,靠空穴的流动导电。增强型是指不加栅源电压时,FET内部不存在导电沟道,这时即使漏源间加上电源电压也没有漏极电流产生。耗尽型是指当栅源电压为0时,FET内部已经有沟道存在,这时若在漏源间加上适当的电源电压,就有漏极电流产生。PNP由2块P型半导体中间夹着一块N型半导体所组成,载流子以空穴为主;NPN管是由2块N型半导体中间夹着一块P型半导体所组成,载流子载流子以空穴为主。37. 画出Y=A*B+C的cmos电路图。38. 利用4选1实现F(x,y,z)=xz+yz.39.用波形表示D触发器的功能。40. 用传输门和倒向器搭一个边沿触发器。41. 用逻辑门画出D触发器。42画出DFF的结构图,用verilog实现之。43画出一种CMOS的D锁存器的电路图和版图。44D触发器和D锁存器的区别。45用D触发器做个4进制的计数。46用一个二选一mux和一个inv实现异或。47用与非门等设计

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 包罗万象 > 大杂烩

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服