收藏 分销(赏)

基于VHDL的交通灯设计与实现.doc

上传人:w****g 文档编号:2046887 上传时间:2024-05-14 格式:DOC 页数:22 大小:498.54KB
下载 相关 举报
基于VHDL的交通灯设计与实现.doc_第1页
第1页 / 共22页
基于VHDL的交通灯设计与实现.doc_第2页
第2页 / 共22页
基于VHDL的交通灯设计与实现.doc_第3页
第3页 / 共22页
基于VHDL的交通灯设计与实现.doc_第4页
第4页 / 共22页
基于VHDL的交通灯设计与实现.doc_第5页
第5页 / 共22页
点击查看更多>>
资源描述

1、华中科技大学基于xilinx FPGA的VHDL交通灯控制器的设计-基于Spartan3E开发板专业: 电子信息工程一. 任务设计要求 设计一个十字路口交通信号灯的定时控制电路。要求红、绿灯按一定的规律亮和灭,并在亮灯期间进行倒计时,并将运行时间用数码管/液晶显示出来。绿灯亮时,为该车道允许通行信号,红灯亮时,为该车道禁止通行信号。要求主干道每次通行时间为99秒,支干道每次通行时间为30秒。每次变换运行车道前绿灯闪烁,持续时间为5秒。即车道要由主干道转换为支干道时,主干道在通行时间只剩下5秒钟时,绿灯闪烁显示,支干道仍为红灯,以便主干道上已过停车线的车继续通行,未过停车线的车停止通行。同理,当

2、车道由支干道转换为主干道时,支干道绿灯闪烁显示5秒钟,主干道仍为红灯。 对红、绿灯的运行时间要能比较方便的进行重新设置。 对器件进行在系统编程和实验验证。用VHDL语言对设计进行描述,设计一个测试方案,通过ISE对设计进行仿真验证。并能够下载到实验板上调试成功。任务扩展:在原设计的基础上加入指示方向的功能。二. 系统设计1.系统原理图与说明由系统设计原理图,我以清楚地将系统分为六个模块:分频模块,时间设置模块,状态转换模块,时间计算模块,LED流水灯模块,LCD显示模块。1)分频模块 分频模块其作用为:由于Spartan3E板上提供的时钟信号为50MHz,而设计所需时钟信号为1Hz,故使用分频

3、模块将50MHz信号分频为1Hz信号。2)时间置数模块由于任务设计要求可以对主干道,支干道上左转、绿灯运行的时间进行重新设置调整,所以要对系统进行参数化设计。首先引入一组参数,main_gh,main_gl,main_lh,main_ll,branch_gh,branch_gl,branch_lh,branch_ll(下划线后面的字母分别取green,left,high,low首字母).需要置数时,首先选择对主干道还是支干道时间置数,这里设置一个main_or_branch参数,当main_or_branch为高时设置支干道时间,为低时设置主干道时间。置数时,通过s_set_button,l_

4、set_button对时间进行设置,具体方法参见代码。为了置数方便,引入一个add_or_decent参数,低电平时按下button可以增计数,高电平时按下可以减计数。3)状态转换模块状态转化模块是整个系统的核心模块它控制整个交通灯系统的状态变化,整个过程划分为四个基本状态:主干道绿灯、主干道左转、支干道绿灯、支干道左转,用state、s_or_l为00、01、10、11来代表。每当一个状态的计数器为00时,state、s_or_l发生改变,以实现状态间的转换,进而控制交通灯的变化。4)时间计算模块这次设计中扩展了左转向的功能,因此红灯时间不仅仅是另一干道的直行时间,而是直行时间和左转时间之和

5、。5)LCD显示模块Spartan3E板上只有LCD显示模块,所以采用此模块显示当前亮灯的剩余时间和设置时间模块的时间显示。通过输入counterplay_1l,counterplay_1h,counterplay_2l,counterplay_2h四位二进制数,加上0011显示成十进制数,分别代表两位数的低位和高位6)LED显示模块由输入信号state、s_or_l、flash,分别取000,001,010,011,100,101,110,111所得到的main_green,main_left,main_red,branch_left,branch_green,branch_red的不同值,

6、来控制主干道,支干道红绿左转灯的亮灭。其中 1表示亮,0表示灭。如表3-1 所示。由上表可得到: main_green = NOT(state) AND NOT(s_or_l) AND (NOT(flash AND clk); main_left = NOT(state) AND s_or_l AND (NOT(flash AND clk); main_red = state; branch_green = state AND NOT(s_or_l) AND (NOT(flash AND clk); branch_left = state AND s_or_l AND (NOT(flash A

7、ND clk); branch_red = NOT(state);2.输入输出设计任务设计开发板基于Spartan3E板,具体输入输出设定如下:1)输入: 开关:main_or_branch:设置主干道还是支干道 EN:使能信号 run_or_set:设置运行模式还是时间设置模式 add_or_decent:置数模式:增加或者减少 按键:s_set_button:直行时间设置按键 l_set_button: 左转时间设置按钮 时钟:clk2) 输出: LCD显示屏:分别显示当前亮灯的剩余秒数 LED灯:main_green,main_red,main_left branch_left,bran

8、ch_green,branch_red3、状态转换图S0状态:主干道绿灯、支干道红灯S1状态:主干道左转、支干道红灯S2状态:支干道绿灯、主干道红灯S3状态:支干道左转、主干道红灯三各模块代码以及仿真波形u 分频模块代码因为1HZ波形太长,不易仿真,故仿真波形采用100HZ的输入clk :50MHZ输出clk1:100HZu 时间设置模块部分代码以上是直行时间设置代码。左转设置类似,在此不再复制仿真 设置 输入:clk main_or_branch 设置为0 add_or_decent 设置为0 s_set_button 设置为周期为1周期的信号 l_set_button 设置为低电平波形如下

9、u 时间计算模块代码波形仿真输入输出与预期相符合。u 状态转换模块波形仿真分别给主干道,支干道个颜色灯持续时间赋值,再给定,当前亮灯的剩余时间,然后又进行仿真,结果符合预期u LED模块代码波形仿真分别给予输入不同周期的高低点评,相互叠加的结果符合预期。u LCD模块代码library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity LCD isport(clk : in STD_LOGIC;rst_n : in STD_LOGIC;coun

10、ter_play1h, counter_play1l : in STD_LOGIC_VECTOR(3 downto 0); counter_play2h, counter_play2l : in STD_LOGIC_VECTOR(3 downto 0); -degree1, degree2 : in STD_LOGIC_VECTOR(3 downto 0); SF_D : out STD_LOGIC_VECTOR(3 downto 0);LCD_E, LCD_RS, LCD_RW: out STD_LOGIC);end LCD;architecture behavior of LCD isty

11、pe tx_sequence is (high_setup, high_hold, oneus, low_setup, low_hold, fortyus, done); signal tx_state : tx_sequence := done; signal tx_byte : std_logic_vector(7 downto 0);signal tx_init : std_logic := 0;type init_sequence is (idle, fifteenms, one, two, three, four, five, six, seven, eight, done);sig

12、nal init_state : init_sequence := idle;signal init_init, init_done : std_logic := 0;signal i : integer range 0 to 750000 := 0;signal i2 : integer range 0 to 2000 := 0;signal i3 : integer range 0 to 82000 := 0;signal SF_D0, SF_D1 : std_logic_vector(3 downto 0);signal LCD_E0, LCD_E1 : std_logic;signal

13、 mux : std_logic;-?type display_state is (init, function_set, entry_set, set_display, clr_display, pause, set_addr,max_degree_1,max_degree_2,temperature_1,temperature_2,degree_1,degree_2,blank1,blank2,blank3);signal cur_state : display_state := init;begin-LED = tx_byte; -for diagnostic purposes-SF_C

14、E0 = 1; -disable intel strataflashLCD_RW = 0; -write only-The following with statements simplify the process of adding and removing states.-when to transmit a mand/data and when not towith cur_state selecttx_init = 0 when init | pause , 1 when others;-control the buswith cur_state selectmux = 1 when

15、 init, 0 when others;-control the initialization sequencewith cur_state selectinit_init = 1 when init, 0 when others;-register selectwith cur_state selectLCD_RS = 0 when function_set|entry_set|set_display|clr_display|set_addr, 1 when others;-what byte to transmit to lcd-refer to datasheet for an exp

16、lanation of these valueswith cur_state selecttx_byte = 00101000 when function_set, - ? 00000110 when entry_set, 00001100 when set_display, 00000001 when clr_display, 10000000 when set_addr, 0011&counter_play1h when max_degree_1, 0011&counter_play1l when max_degree_2, 00100000 when blank1, 0011&count

17、er_play2h when temperature_1, 0011&counter_play2l when temperature_2, 00100000 when blank2, 00100000 when degree_1, 00100000 when degree_2, 00100000 when blank3, 00100000 when others;-main state machinedisplay: process(clk, rst_n)beginif(rst_n=0) thencur_state if(init_done = 1) thencur_state = funct

18、ion_set;elsecur_state if(i2 = 2000) thencur_state = entry_set;elsecur_state if(i2 = 2000) thencur_state = set_display;elsecur_state if(i2 = 2000) thencur_state = clr_display;elsecur_state i3 = 0;if(i2 = 2000) thencur_state = pause;elsecur_state if(i3 = 82000) thencur_state = set_addr;i3 = 0;elsecur_

19、state = pause;i3 if(i2 = 2000) thencur_state = max_degree_1;elsecur_state if(i2 = 2000) thencur_state = max_degree_2;elsecur_state if(i2 = 2000) thencur_state = blank1;elsecur_state if(i2 = 2000) thencur_state = temperature_1;elsecur_state if(i2 = 2000) thencur_state = temperature_2;elsecur_state if

20、(i2 = 2000) thencur_state = blank2;elsecur_state if(i2 = 2000) thencur_state = degree_1;elsecur_state if(i2 = 2000) thencur_state = degree_2;elsecur_state if(i2 = 2000) thencur_state = blank3;elsecur_state if(i2 = 2000) thencur_state =set_addr ;elsecur_state = blank3;end if;end case;end if;end proce

21、ss display;with mux selectSF_D = SF_D0 when 0, -transmit SF_D1 when others; -initializewith mux selectLCD_E = LCD_E0 when 0, -transmit LCD_E1 when others; -initialize-specified by datasheettransmit : process(clk, rst_n, tx_init)beginif(rst_n=0) thentx_state -40nsLCD_E0 = 0;SF_D0 = tx_byte(7 downto 4

22、);if(i2 = 2) thentx_state = high_hold;i2 = 0;elsetx_state = high_setup;i2 -230nsLCD_E0 = 1;SF_D0 = tx_byte(7 downto 4);if(i2 = 12) thentx_state = oneus;i2 = 0;elsetx_state = high_hold;i2 LCD_E0 = 0;if(i2 = 50) thentx_state = low_setup;i2 = 0;elsetx_state = oneus;i2 LCD_E0 = 0;SF_D0 = tx_byte(3 downt

23、o 0);if(i2 = 2) thentx_state = low_hold;i2 = 0;elsetx_state = low_setup;i2 LCD_E0 = 1;SF_D0 = tx_byte(3 downto 0);if(i2 = 12) thentx_state = fortyus;i2 = 0;elsetx_state = low_hold;i2 LCD_E0 = 0;if(i2 = 2000) thentx_state = done;i2 = 0;elsetx_state = fortyus;i2 LCD_E0 = 0;if(tx_init = 1) thentx_state

24、 = high_setup;i2 = 0;elsetx_state = done;i2 = 0;end if;end case;end if;end process transmit;-specified by datasheetpower_on_initialize: process(clk, rst_n, init_init) -power on initialization sequencebeginif(rst_n=0) theninit_state = idle;init_done init_done = 0;if(init_init = 1) theninit_state = fi

25、fteenms;i = 0;elseinit_state = idle;i init_done = 0;if(i = 750000) theninit_state = one;i = 0;elseinit_state = fifteenms;i SF_D1 = 0011;LCD_E1 = 1;init_done = 0;if(i = 11) theninit_state=two;i = 0;elseinit_state=one;i LCD_E1 = 0;init_done = 0;if(i = 205000) theninit_state=three;i = 0;elseinit_state=

26、two;i SF_D1 = 0011;LCD_E1 = 1;init_done = 0;if(i = 11) theninit_state=four;i = 0;elseinit_state=three;i LCD_E1 = 0;init_done = 0;if(i = 5000) theninit_state=five;i = 0;elseinit_state=four;i SF_D1 = 0011;LCD_E1 = 1;init_done = 0;if(i = 11) theninit_state=six;i = 0;elseinit_state=five;i LCD_E1 = 0;ini

27、t_done = 0;if(i = 2000) theninit_state=seven;i = 0;elseinit_state=six;i SF_D1 = 0010;LCD_E1 = 1;init_done = 0;if(i = 11) theninit_state=eight;i = 0;elseinit_state=seven;i LCD_E1 = 0;init_done = 0;if(i = 2000) theninit_state=done;i = 0;elseinit_state=eight;i init_state = done;init_done = 1;end case;end if;end process power_on_initialize;end behavior;因为LCD模块无法仿真,故在此不做仿真四、实验总结 1、心得体会此次设计实验是对本课程的一次总结,通过此次实验大大提高了自己的动手能力,对VHDL这门语言以及对FPGA的开发油了更加深入的了解在动手设计实验的过程中,也遇到了很多问题,比如计数器工作不正常,LED灯非正常闪烁,也用了了很大的功夫才把BUG解决。课程设计给了我们把知识转化为能力的机会,这次电子技术课程设计更是让我受益良多。其次本次试验也存在很多不足之处,如按键抖动的问题,还有红灯的计算模块都有欠缺考虑之处。还需要改进。

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 学术论文 > 其他

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服