ImageVerifierCode 换一换
格式:DOC , 页数:7 ,大小:1.01MB ,
资源ID:3100005      下载积分:4 金币
验证码下载
登录下载
邮箱/手机:
验证码: 获取验证码
温馨提示:
支付成功后,系统会自动生成账号(用户名为邮箱或者手机号,密码是验证码),方便下次登录下载和查询订单;
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

开通VIP
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.zixin.com.cn/docdown/3100005.html】到电脑端继续下载(重复下载【60天内】不扣币)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  
声明  |  会员权益     获赠5币     写作写作

1、填表:    下载求助     索取发票    退款申请
2、咨信平台为文档C2C交易模式,即用户上传的文档直接被用户下载,收益归上传人(含作者)所有;本站仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。所展示的作品文档包括内容和图片全部来源于网络用户和作者上传投稿,我们不确定上传用户享有完全著作权,根据《信息网络传播权保护条例》,如果侵犯了您的版权、权益或隐私,请联系我们,核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
3、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据,平台无法对文档的真实性、完整性、权威性、准确性、专业性及其观点立场做任何保证或承诺,下载前须认真查看,确认无误后再购买,务必慎重购买;若有违法违纪将进行移交司法处理,若涉侵权平台将进行基本处罚并下架。
4、本站所有内容均由用户上传,付费前请自行鉴别,如您付费,意味着您已接受本站规则且自行承担风险,本站不进行额外附加服务,虚拟产品一经售出概不退款(未进行购买下载可退充值款),文档一经付费(服务费)、不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
5、如你看到网页展示的文档有www.zixin.com.cn水印,是因预览和防盗链等技术需要对页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有水印标识(原文档上传前个别存留的除外),下载后原文更清晰;试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓;PPT和DOC文档可被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;PDF文档不管是原文档转换或图片扫描而得,本站不作要求视为允许,下载前自行私信或留言给上传者【a199****6536】。
6、本文档所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用;网站提供的党政主题相关内容(国旗、国徽、党徽--等)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
7、本文档遇到问题,请及时私信或留言给本站上传会员【a199****6536】,需本站解决可联系【 微信客服】、【 QQ客服】,若有其他问题请点击或扫码反馈【 服务填表】;文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“【 版权申诉】”(推荐),意见反馈和侵权处理邮箱:1219186828@qq.com;也可以拔打客服电话:4008-655-100;投诉/维权电话:4009-655-100。

注意事项

本文(哈工大2010年数电期末试题+答案.doc)为本站上传会员【a199****6536】主动上传,咨信网仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知咨信网(发送邮件至1219186828@qq.com、拔打电话4008-655-100或【 微信客服】、【 QQ客服】),核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载【60天内】不扣币。 服务填表

哈工大2010年数电期末试题+答案.doc

1、本题得分一、(8分)填空和选择填空(每空1分)1函数式写成最大项之积的形式为 M1M7 。2函数式化成最简与或式为 BC+AC+CB 。3在下列门电路中,输出端不可以并联使用的是 D 。A集电极开路门 B三态门CCMOS传输门 D具有推挽式输出结构的TTL门电路4某TTL门电路的输入短路电流IS=1.4mA,高电平输入漏电流IR=0.02mA,最大灌电流IOLMax=15 mA,最大拉电流IOHMax=0.4mA,其扇出系数No= 10 。5电路如图1所示,G1为TTL三态门,G2为TTL与非门,C=1。若B端悬空,则万用表的读数近似为 1.4 V;若B端改接至0.3V,则万用表的读数近似为

2、0.3 V。图16逐次逼近型A/D转换器属 直接型 (直接型,间接型)A/D转换器。7需要 8 片1K4bit 的RAM存储器才能扩展成4K8bit的存储器。本题得分二、(8分)图2所示电路由同步十六进制计数器74LS161、四位加法器74LS283和与非门组成,C0为来自低位的进位信号,回答下面问题:174LS161和与非门构成多少进制计数器?2按着QDQCQBQA的顺序,74LS161输出是什么编码?3若要求从S4S3S2S1输出为BCD8421码,则B4B3B2B1及C0应如何连接?图2解:110进制 (00111100)2余三码3B4B3B2B1及C0 接1101和0或1100和1本题

3、得分三、(6分)由一片8位二进制加法计数器和一片8位D/A转换器构成的电路如图3所示。设CP的频率为1kHz;计数器为异步清零方式;D/A转换器的最大输出电压为5.1V。回答下面问题:1计数器是多少进制计数器?2计算输出信号Y的最大输出电压值和周期。图3解:1196进制2 本题得分四、(6分)用ROM实现的计算,其中X、Y均为两位二进制数,Z为多位二进制数,列出真值表,完成图4中阵列图的绘制。图4解: X1X0Y1Y0Z4Z3Z2Z1Z00000000000001000000010000000011000000100000000101000010110000100111000111000000

4、00100100100101001000101101100110000000110101001111010010111111011本题得分五、(4分)用图5中的74LS138译码器作地址译码器,地址信号为A7A0,A7为最高位,要求8位地址为C0HC7H时,译码器依次输出有效信号,则译码器的输入应如何连接?连接时可以使用必要的逻辑门,品种不限。 图5解:本题得分六、(14分)电路如图6所示,电容C=0.0022F。试求:1如果想使555定时器输出频率为50kHz,占空比为60%的矩形波,则电阻RA和RB的电阻值分别为多大?2说明对CP几分频?3初始时,画出在CP作用下、的波形;根据波形,说明该

5、电路的功能?图6(a)图6(b)本题得分七、(12分)多功能组合逻辑电路如图7所示,AB为控制输入,CD为两位二进制数输入,Y2Y1为输出。当AB=00时,对CD做加1运算;当AB=01时,对CD做减1运算;当AB=10时,对CD做加0运算;当AB=11时,为禁止状态。回答下面问题:1列真值表,分别写出Y1和Y2的逻辑表达式,并指出约束条件是什么?2试用两片8选1数据选择器74LS151和必要的非门实现该电路。图7 本题得分八、(12分)阅读程序,并回答下列问题。1根据下面一段Verilog HDL语言的描述,说明所描述电路的逻辑功能。 module digl(W,Y,z);input 3:0

6、 W;output reg 1:0 Y;output reg z;always (W)beginz=1;casex(W) 4b1xxx: Y=3; 4b01xx: Y=2; 4b001x: Y=1;4b0001: Y=0; default: begin z=0; Y=2bx;end endcaseendendmodule2根据下面一段Verilog HDL语言的描述,说明所描述电路的逻辑功能,并画出相应的逻辑电路图。 module dig2(q2,d,clk);input clk,d;output q2;reg q2,q1,q0;always (posedge clk)beginq2=q1;q1=q0;q0=d;endendmodule3在题2电路的基础上,添加适当的逻辑门,设计一个序列脉冲发生器,使之在clk信号作用下,在q2端循环地产生01011100这样一组8位序列脉冲,要求给出设计过程,并画出该序列脉冲发生器完整的逻辑电路图。 (注:专业文档是经验性极强的领域,无法思考和涵盖全面,素材和资料部分来自网络,供参考。可复制、编制,期待你的好评与关注)

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服