ImageVerifierCode 换一换
格式:DOC , 页数:15 ,大小:172.04KB ,
资源ID:2727045      下载积分:5 金币
验证码下载
登录下载
邮箱/手机:
验证码: 获取验证码
温馨提示:
支付成功后,系统会自动生成账号(用户名为邮箱或者手机号,密码是验证码),方便下次登录下载和查询订单;
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

开通VIP
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.zixin.com.cn/docdown/2727045.html】到电脑端继续下载(重复下载【60天内】不扣币)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  
声明  |  会员权益     获赠5币     写作写作

1、填表:    下载求助     索取发票    退款申请
2、咨信平台为文档C2C交易模式,即用户上传的文档直接被用户下载,收益归上传人(含作者)所有;本站仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。所展示的作品文档包括内容和图片全部来源于网络用户和作者上传投稿,我们不确定上传用户享有完全著作权,根据《信息网络传播权保护条例》,如果侵犯了您的版权、权益或隐私,请联系我们,核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
3、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据,平台无法对文档的真实性、完整性、权威性、准确性、专业性及其观点立场做任何保证或承诺,下载前须认真查看,确认无误后再购买,务必慎重购买;若有违法违纪将进行移交司法处理,若涉侵权平台将进行基本处罚并下架。
4、本站所有内容均由用户上传,付费前请自行鉴别,如您付费,意味着您已接受本站规则且自行承担风险,本站不进行额外附加服务,虚拟产品一经售出概不退款(未进行购买下载可退充值款),文档一经付费(服务费)、不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
5、如你看到网页展示的文档有www.zixin.com.cn水印,是因预览和防盗链等技术需要对页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有水印标识(原文档上传前个别存留的除外),下载后原文更清晰;试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓;PPT和DOC文档可被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;PDF文档不管是原文档转换或图片扫描而得,本站不作要求视为允许,下载前自行私信或留言给上传者【精****】。
6、本文档所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用;网站提供的党政主题相关内容(国旗、国徽、党徽--等)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
7、本文档遇到问题,请及时私信或留言给本站上传会员【精****】,需本站解决可联系【 微信客服】、【 QQ客服】,若有其他问题请点击或扫码反馈【 服务填表】;文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“【 版权申诉】”(推荐),意见反馈和侵权处理邮箱:1219186828@qq.com;也可以拔打客服电话:4008-655-100;投诉/维权电话:4009-655-100。

注意事项

本文(eda专业课程设计自动售货机的设计.doc)为本站上传会员【精****】主动上传,咨信网仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知咨信网(发送邮件至1219186828@qq.com、拔打电话4008-655-100或【 微信客服】、【 QQ客服】),核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载【60天内】不扣币。 服务填表

eda专业课程设计自动售货机的设计.doc

1、电子信息科学和技术 专业课程设计任务书学生姓名专业班级学号题 目自动售货机设计课题性质工程设计课题起源自拟课题指导老师同组姓名关键内容设计制作一个自动售货机,该自动售货机能完成货物信息存放、进程控制、硬币处理、余额计算、显示等功效。自动售货机能够售2种货物,每种货物数量和单价在初始化时设入,在存放器中存放。用户能够用硬币进行购物,按键进行选择。系统依据用户输入货币,判定钱币是否够,钱币足够则依据用户要求自动售货;钱币不够则给出提醒并退出。系统自动地计算出应找钱币余额、库存数量并显示。(提醒:钱数以5角为单位。)任务要求依据设计题目要求编写对应程序代码对编写VHDL程序代码进行编译和仿真总结设计

2、内容,完成课程设计说明书参考文件1焦素敏.EDA课程设计指导书.郑州:河南工业大学,2潘松,黄继业.EDA技术实用教程.北京:科学出版社,3王国栋,潘松等.VHDL实用教程.成全部:电子科技大学出版社,4 张亦华,延明.数字电路EDA入门.北京:电子工业出版社,5 http:/www.ele- 中国电子制作网 网站审查意见指导老师签字教研室主任签字 2月 20日 一 设计说明依据要求可自动出售两种货物,本文设计自动售货机可销售cola 和 pepsi两种饮料:售货机可识别1元和0.5元两种货币,在一次购置过程中,可购置一个或多个商品,系统会自动计算所需钱数和找零钱数并自动找零。另外有3个发光二

3、极管、6个LCD数码管:两个用来显示所需金额,两个用来显示已付金额,两个用来显示找零数。1.1.1 步骤说明本文设计自动售货机当通电时,表示一次销售开始。用户选择一个商品或多个商品后就进入投币状态。若不投币,则自动返回初始状态。投币后,系统自动计算所投钱数。若投币够,则出货并找零。若投币不够,假如用户没有继续投币,则退币并回到初始状态。本系统投币销售步骤图图所表示: 退币清零结 束出货找零继续投币综合物价 计算金额投币选 择开始 1.1.2各模块说明 本文设计自动售货机总体分三个模块:总控模块,二进制译码模块、BCD码译码模块。总控模块:总控模块是本系统最关键模块,该模块大致有5个输入端口和6

4、个输出端口。其输入端口包含clk、coin1(投入一元货币)、coin5(投入0.5元货币)、cola(选择cola)、pepsi(选择pepsi),输出端口有paid(已投入多少钱)、needed(还需多少钱)moneyout(找零)、success(灯亮表示交易成功)、failure(灯亮表示交易失败)、showmoneyout(灯亮表示正在找零)。该模块实现了本系统最关键交易过程,包含选择商品、投入货币,计算剩下金额,找零出货等。二进制译码模块:该模块有一个输入端口和两个输出端口。输入端口是一个8位二进制数输出端口bcd0、bcd1是两个4位BCD码。该模块关键功效是实现将主控模块输出二

5、进制数(paid、needed、moneyout)转换成BCD码,方便输出到七段数码管上显示出来。该模块原理是将一个8位二进制转换成2个4位BCD码,分为高四位和低四位。BCD码译码模块:该模块有一个输入端口和一个输出端口1.1.3 程序设计主控模块完整程序以下:Library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity shouhuoji isport(clk: in std_logic; coin1:in std_logic; coin5:in std_logic; cola:in std_

6、logic; pepsi:in std_logic; paid:out std_logic_vector(7 downto 0); needed:out std_logic_vector(7 downto 0); success:out std_logic; failure:out std_logic; showmoneyout:out std_logic; moneyout:out std_logic_vector(7 downto 0);end shouhuoji; architecture behav of shouhuoji is type state_type is (qa,qb,q

7、e,qc,qg,qd,qf);-定义七个状态 signal current_state :state_type:=qa; signal q:integer range 0 to 100; begin process(clk) variable paidtemp:std_logic_vector(7 downto 0);-定义变量 variable neededtemp:std_logic_vector(7 downto 0); variable backmoney:std_logic_vector(7 downto 0); variable pricetemp:std_logic_vector

8、(7 downto 0); begin if clkevent and clk=1 then case current_state is when qa=paidtemp:=00000000;neededtemp:=00000000; backmoney:=00000000;pricetemp:=00000000;q=0; showmoneyout=0;moneyout=00000000;paid=00000000; needed=00000000;failure=0;success=0; if cola=1 or pepsi=1 then current_state=qb; if cola=

9、1 then pricetemp:=pricetemp+00001111; neededtemp:=pricetemp; Else pricetemp:=pricetemp+00010100; neededtemp:=pricetemp; end if; end if; paid=paidtemp; neededif coin1=1 or coin5=1 then if coin1=1then paidtemp:=paidtemp+00001010; else paidtemp:=paidtemp+00000101; end if; if paidtemp=pricetemp then bac

10、kmoney:=paidtemp-pricetemp; neededtemp:=00000000;current_state=qd; else neededtemp:=pricetemp-paidtemp;backmoney:=00000000; current_state=qc;q=0; end if; paid=paidtemp; needed=neededtemp; end if; if q8 then q=q+1; if cola=1 or pepsi=1then q=0; if cola=1 then pricetemp:=pricetemp+00001111; neededtemp

11、:=neededtemp+00001111; else pricetemp:=pricetemp+00010100; neededtemp:=neededtemp+00010100; end if; paid=paidtemp; needed=neededtemp; end if; else current_state=qe;qfailure=1; if q4 then q=q+1; else current_state=qa;qif coin1=1 or coin5=1 then if coin1=1then paidtemp:=paidtemp+00001010; else paidtem

12、p:=paidtemp+00000101; end if; if paidtemp=pricetemp then backmoney:=paidtemp-pricetemp; neededtemp:=00000000;current_state=qd; else neededtemp:=pricetemp-paidtemp;backmoney:=00000000; current_state=qc; end if; paid=paidtemp; needed=neededtemp; end if; if coin1/=1and coin5/=1 then if q10 then q=q+1;

13、else current_state=qg; end if; else qfailure=1; showmoneyout=1;moneyout=paidtemp; current_state=qf;q=0; successsuccess00000000then showmoneyout=1; moneyout=backmoney; end if; current_state=qf;qif q4 then q=q+1; else current_state=qa;qbcd0=0000;bcd1bcd0=0001;bcd1bcd0=0010;bcd1bcd0=0011;bcd1bcd0=0100;

14、bcd1bcd0=0101;bcd1bcd0=0110;bcd1bcd0=0111;bcd1bcd0=1000;bcd1bcd0=1001;bcd1bcd0=0000;bcd1bcd0=0001;bcd1bcd0=0010;bcd1bcd0=0011;bcd1bcd0=0100;bcd1bcd0=0101;bcd1bcd0=0110;bcd1bcd0=0111;bcd1bcd0=1000;bcd1bcd0=1001;bcd1bcd0=0000;bcd1null;end case;end process;end one;顶层模块完整程序以下:library IEEE;use IEEE.STD_L

15、OGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity top isPort( clk1:in std_logic; C1,C5,P1_5,P2:in std_logic; paid_lcd0,paid_lcd1,needed_lcd0,needed_lcd1,Mout_lcd0,Mout_lcd1:out std_logic_vector(3 downto 0); s,f,showout :out std_logic );end top;architecture one of top

16、iscomponent shouhuojiport ( clk:in std_logic; coin1:in std_logic; coin5:in std_logic; cola:in std_logic; pepsi:in std_logic; paid:out std_logic_vector(7 downto 0); needed:out std_logic_vector(7 downto 0); success:out std_logic; failure:out std_logic; showmoneyout:out std_logic; moneyout:out std_logi

17、c_vector(7 downto 0) ); end component;component code1port( b:in std_logic_vector( 7 downto 0); bcd0:out std_logic_vector(3 downto 0); bcd1:out std_logic_vector(3 downto 0);end component;signal p,n,mo:std_logic_vector( 7 downto 0);-signal s1,s2,s3,s4,s5,s6:std_logic_vector(3 downto 0);begin u0:shouhu

18、oji-各模块连接 port map(clk=clk1,coin1=C1,coin5=C5,cola=P1_5,pepsi=P2,success=s,failure=f,showmoneyout=showout,paid=p,needed=n,moneyout=mo);u1:code1 port map(b=p,bcd0=paid_lcd0,bcd1=paid_lcd1);u2:code1 port map(b=n,bcd0=needed_lcd0,bcd1=needed_lcd1);u3:code1 port map(b=mo,bcd0=Mout_lcd0,bcd1=Mout_lcd1);

19、end one; 1.1.4各模块连接 各模块连接图所表示: 售货机主控系统和译码器模块图 售货机顶层模块2、1 主控模块仿真 上图表示用户选择了pepsi饮料后,且投了2个一元硬币。Success为高电平,代表售货机有饮料售出。且余额为0.上图表示用户选择了pepsi饮料后,且投1个一元硬币和一个0.5元硬币。failure为高电平,代表售货机无饮料售出。且余额为已投金额,将钱退还给用户。.2.BCD码译码仿真上图表示自动售货机译码系统,上图表示将8位数字转换成位BCD码。3.TOP文件仿真上图表示用户选择了pepsi饮料后,且投了2个一元硬币。Success为高电平,代表售货机有饮料售出。

20、且余额为0.上图表示用户选择了pepsi饮料后,且投1个一元硬币和一个0.5元硬币。Failure为高电平,代表售货机无饮料售出。Shoout为退币指示灯。.Mout_lcd0和Mout_lcd1,显示退币金额。Paided _lcd0 和Paided_lcd1 needed _lcd0 和needed_lcd1 分别显示已付金额和还需要金额数。3. 下载验证 在无数次调试和修改中,下载验证能够实现题目标要求4. 参考文件:1焦素敏.EDA课程设计指导书.郑州:河南工业大学,2潘松,黄继业.EDA技术实用教程.北京:科学出版社,3王国栋,潘松等.VHDL实用教程.成全部:电子科技大学出版社,4

21、 张亦华,延明.数字电路EDA入门.北京:电子工业出版社,5 5. 心得体会自动售货机系统设计经过一个星期设计已经全部完成,能按预期效果进行数次购置、找零出货、而且在数码管上显示出已投货币金额、还需金额、找零,同时有指示灯提醒交易成功、交易失败、正在找零。在自动售货机系统3个模块中,最关键是主控模块,其次还有二进制译码模块和BCD码译码模块。各模块完成后,将它们组合成完整自动售货机系统。在设计过程中还需改善是。本文所用VHDL语言即使语法规则和其它计算机高级语言如C语言在很多方面很类似,但它毕竟是硬件描述语言,其本质作用在于描述硬件,所以会受硬件环境限制。所以,为了达成设计预期效果,在代码编写前,应做到对硬件电路心中有数,不能一味追求代码完美,而应该已实现硬件电路性能优劣为标准。

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服