收藏 分销(赏)

电子技术数字时钟报告电路原理图.docx

上传人:Fis****915 文档编号:551391 上传时间:2023-12-06 格式:DOCX 页数:7 大小:833.52KB
下载 相关 举报
电子技术数字时钟报告电路原理图.docx_第1页
第1页 / 共7页
电子技术数字时钟报告电路原理图.docx_第2页
第2页 / 共7页
电子技术数字时钟报告电路原理图.docx_第3页
第3页 / 共7页
电子技术数字时钟报告电路原理图.docx_第4页
第4页 / 共7页
电子技术数字时钟报告电路原理图.docx_第5页
第5页 / 共7页
点击查看更多>>
资源描述

1、电子技术课程设计报告 设计题目:数字电子时钟班级:学生姓名: 学号:指导老师: 完成时间: 一.设计题目:数字电子时钟二.设计目的:1.熟悉集成电路的引脚安排和各芯片的逻辑功能及使用方法。2.了解数字电子钟的组成及工作原理 。3.熟悉数字电子钟的设计与制作。 三、设计任务及要求 用常用的数字芯片设计一个数字电子钟,具体要求如下: 1、以24小时为一个计时周期; 2、具有“时”、“分”、“秒”数字显示; 3、数码管显示电路; 4、具有校时功能; 5、整点前10秒,数字钟会自动报时,以示提醒; 6、用PROTEUS画出电路原理图并仿真验证;四、设计步骤: 电路图可分解为:1.脉冲产生电路;2.计时

2、电路;3.显示电路;4校时电路;5整点报时电路。1.脉冲电路是由一个555定时器构成的一秒脉冲,即频率为1HZ;电路图如下:2.计时电路即是计数电路,通过计数器集成芯片如:74LS192 、74LS161、74LS163等完成对秒脉冲的计数,考虑到计数的进制,本设计采用的是74LS192。秒钟个位计到9进10时,秒钟个位回0,秒钟十位进1,秒钟计到59,进60时,秒钟回00,分钟进1;分钟个位计到9进10时,分钟个位回0,分钟十位进1,分钟计到59,进60时,分钟回00,时钟进1;时钟个位记到9进10时,时钟个位回0,时钟十位进1,当时钟计数到23进24时,时钟回00.电路图如下:3.显示电路

3、是完成各个计数器的计数结果的显示,由显示译码器和数码管组成,译码器选用的是4511(七段显示译码器),LED数码管选用的是共阴极七段数码管,数码管要加限流电阻,本设计采用的是400欧姆的电阻。电路图如下:4.校时电路通过RS触发器及与非门和与门对时和分进行校准,电路图如下:5.整点报时电路即在时间出现整点的前几秒,数值时钟会自动提醒,本设计采用连续蜂鸣声; 根据要求,电路应在整点前10秒开始整点报时,也就是每个小时的59分50秒开始报时,元器件有两个三输入一输出的与门,一个两输入一输出的与门,发生器件选择蜂鸣器。具体电路图如下:六.设计用到的元器件有:与非门(74LS00),与门(74LS08

4、,74LS11),7段共阴极数码管,计数器芯片74LS192,555定时器,4511译码器,电阻,电容,二极管(在电路开始工作时,对计数电路进行清零时会使用到),单刀双掷开关。设计电路图如报告夹纸。七.仿真测试:1.电路计时仿真电路开始计数时: 计数从1秒到10秒的进位,从59秒到一分钟的进位,从1分到10分的进位,从59分到一小时的进位,从1小时到10小时的进位,从23小时到24小时的进位,然后重新开始由此循环,便完成了24小时循环计时功能,仿真结果如下:1. 7. 2. 8. 3. 9. 4. 10.5. 11. 6. 12. 13.2.电路报时仿真由电路图可知,U18:A和U18:B的6

5、个输入引脚都为高电平时,蜂鸣器才会通电并发声,当计数器计数到59分50秒是,要求开始报时,而59分59秒时,还在报时,也就是说只需要检测分钟数和秒计数的十位,5的BCD码是4和1,9的BCD码是8和1,一共需要6个测端口,也就是上述的6个输入端口,开始报时时,报时电路状态如图:3.校时电路仿真 正常计时 校时U15:D和u15:C是一个选通电路,12角接的是秒的进位信号,9角接的是秒的脉冲信号,当SW1接到下引脚时,U15:D接通,u15:C关闭,进位信号通过,计数器的分技术正常计时;当SW1接到上引脚时,U15:D关闭,u15:C接通,校时的秒脉冲通过,便实现了分钟校时,时钟的校时与分钟校时

6、大致相同。 八.心得体会以及故障解决设计过程中遇到了一个问题,就是在校时电路开始工作时,校时的选择电路会给分钟和时钟的个位一个进位信号,也就是仿真开始时电路的分钟和时钟个位会有一个1。为了解决这个问题,我采用的是在电路开始工作时,同时给分钟和时钟的个位一个高电平的清零信号来解决,由于时钟的个位和十位的清零端是连在一起的,再加上分钟的个位,在校时小时的时候且当小时跳完24小时时,会给分钟的个位一个清零信号,这时在电路中加一个单向导通的二极管变解决了,具体加在那儿,请参考电路图。在设计过称中,我们也许遇到的问题不止一个两个,而我们要做的是通过努力去解决它;首先我们要具备丰富的基础知识,这是要在学习

7、和实际生活中积累而成的;其次,我们还有身边的朋友同学老师可以请教,俗话说:三人行,必有我师;最后,我们还有网络,当今是个信息时代,网络承载信息的传递,而且信息量非常大,所以我们也可以适当的利用网络资源。通过这次对数字钟的设计与制作,让我了解了设计电路的步骤,也让我了解了关于数字钟的原理与设计理念,要设计一个电路总要先用仿真,仿真成功之后才实际接线。但是仿真是在一个比较好的状态下工作,而电路在实际工作中需要考虑到一些驱动和限流电阻等等,因为,再实际接线中有着各种各样的条件制约和干扰。而且,在仿真中无法成功的电路接法,在实际中因为芯片本身的特性而能够成功。所以,在设计时应考虑两者的差异,从中找出最适合的设计方法。这次学习让我对各种电路都有了大概的了解,所以说,坐而言不如立而行,对于这些电路还是应该自己动手实际操作才会有深刻理解,才能在实际生活和工作中应用起来。

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 行业资料 > 其他

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服