收藏 分销(赏)

单片机课程设计简易电子琴单片机.doc

上传人:二*** 文档编号:4516309 上传时间:2024-09-26 格式:DOC 页数:26 大小:1.18MB
下载 相关 举报
单片机课程设计简易电子琴单片机.doc_第1页
第1页 / 共26页
亲,该文档总共26页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

1、单片机课程设计简易电子琴单片机(完整版)(文档可以直接使用,也可根据实际需要修改使用,可编辑 欢迎下载) 单片机原理与接口技术课程设计报告姓 名 学 号 院 系 班 级 指导教师 2021 年 6 月目 录一 课程设计目的3二 .课程设计的内容31、设计任务及要求 3(1)设计任务 3(2)设计要求 3三、设计的基本方案及步骤 41、方案42、步骤4四、硬件电路设计及描述 514x4矩阵键盘控制模块 52. 发声模块 63. 显示模块 74 . 设计的核心部件及其外围基本电路7五、软件设计思想及流程10六、调试过程及调试方法11七、课程设计体会 11八、参考文献12附录13一、课程设计目的单片

2、机课程设计作为独立的教学环节,是自动化及相关专业集中实践性环节系列之一,是学习完单片机原理及应用课程后,并在进行相关课程设计基础上进行的一次综合练习。单片机课程设计过程中,学生通过查阅资料,接口设计,程序设计,安装调试等环节,完成一个基于MCS-51系列单片机,涉及多种资源应用,并且有综合功能的小应用系统设计。使学生不但能够将课堂上学到的理论知识与实际应用结合起来,而且能够对电子电路,电子元器件等方面的知识进一步加深认识,同时在软件编程,调试,相关仪器设备和相关软件的使用技能等方面得到较全面的锻炼和提高。使学生增进对单片机的感性认识,加深对单片机理论方面的理解,加深单片机的内部功能模块的应用,

3、如定时器/计数器,中断,片内外存储器,I/O接口,串行口等。使学生了解和掌握单片机应用系统的软硬件设计过程,方法及实现,强化单片机应用电路的设计与分析能力。提高学生在单片机应用方面的实践技能和科学作风,培育学生综合运用理论知识解决问题的能力。二、课程设计内容1、设计任务及要求(1)设计任务基于MCS系列单片机AT89C51。设计一个简易电子琴(2)设计要求a.利用所给键盘的1,2,3,4,5,6,7,8八键,能够发出8个不同的音符。b.并且要求按下按键发声,松开延时一段时间停止,中间再按别的键则发出另一音符的声音。c.使用LED数码管显示8个不同的音调。d.如果在一个按下的键发声的同时有另一键

4、被按下,前面键的发音停止,转到后按的键的发音。e.增加变调钮“UP1”,“UP2”,“DN1”,“DN2”,在按下“08”音符时,变调键,产生相应的变调,使用LED数码管显示变调情况。f.其它功能二、设计的基本方案及步骤(1)方案该课题主要由软件及其硬件两个基本部分共同完成。硬件主要部分:4x4矩阵式键盘(主要用于音符的输入以及变调的键值输入,其与单片机的P0口连接). MCS51-AT89单片机芯片. 两位LED数码管显示器.以及一些基本外围电路。软件主要部分:运用c语言进行相应的编程,其主要包括控制按键扫描程序,发声主程序,以及显示程序。图1 电路设计总体框图 (2)步骤在程序中根据相应的

5、音符只做一个频率表,当单片机检测到键值的时候,主程序将自动调用表格中的程序,发出相应的信号驱动扬声器发声,同时LED数码管显示其键值(音调)。本设计的题目包括,按键程序,主程序等几个部分组成。图2 简易电子琴设计流程图三. 硬件电路设计及描述图3 简易电子琴设计电路1、4x4矩阵键盘控制模块图4 4x4矩阵键盘模块图矩阵式键盘的按键识别方法:行扫描法 行扫描法又称为逐行(或列)扫描查询法,是一种最常用的按键识别方法,如上图所示键盘,介绍过程如下。 a.判断键盘中有无键按下 将全部行线置低电平,然后检测列线的状态。只要有一列的电平为低,则表示键盘中有键被按下,而且闭合的键位于低电平线与4根行线相

6、交叉的4个按键之中。若所有列线均为高电平,则键盘中无键按下。 b.判断闭合键所在的位置 在确认有键按下后,即可进入确定具体闭合键的过程。其方法是:依次将行线置为低电平,即在置某根行线为低电平时,其它线为高电平。在确定某根行线位置为低电平后,再逐行检测各列线的电平状态。若某列为低,则该列线与置为低电平的行线交叉处的按键就是闭合的按键。 图5 按键运行框图2. 发声模块图6 扬声器与单片机的连接方式图扬声器连接在P3.0/RXD口,当有键盘按下时候,单片机会通过程序调用相应键值,由P3.0/RXD输出对应的频率信号,从而驱动扬声器发声。图7 扬声器运行图3. 显示模块图8 2位LED数码管与单片机

7、的连接图图16中1、2是公共端,控制位码,控制那个数码管亮(左右),如果是共阴的则接低电平,若为供阳的则相反。,a-g、dp是控制断码,控制9个二极管的亮暗,可以直接接51的输出口(P0要加上拉电阻),如果是共阴的则接高电平来使数码管亮,若为供阳的则相反。用8位码控制,用16进制表示!二极管从最上面顺时针分别为a,b,c,d,e,f中间横线为g,点为dp。4、设计的核心部件及其外围基本电路图9 设计的核心部件及其外围基本电路AT89C51简介本次简易电子琴设计系统以AT89C51 单片机为核心,融合常见电路模块:查询式键盘接口电路模块和喇叭驱动电路模块。AT89C51 单片机内部除CPU 外,

8、还包括128 字节RAM,21 个SFR,4 个8 位并行I O 口,1 个全双工串行口,2 个16 位定时 计数器, 片内集成4K字节ROM,具有低功耗、速度快等优点,完全满足本系统设计需要,而且所用器件都具有通用性。AT89C51是一种带4K字节FLASH存储器(FPEROMFlash Programmable and Erasable Read Only Memory)的低电压、高性能CMOS 8位微处理器,俗称单片机。AT89C2051是一种带2K字节闪存可编程可擦除只读存储器的单片机。单片机的可擦除只读存储器可以反复擦除1000次。该器件采用ATMEL高密度非易失存储器制造技术制造,

9、与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器,AT89C2051是它的一种精简版本。AT89C单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。外形及引脚排列VCC:供电电压、GND:接地。 P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P0口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。 P1口:

10、P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。 P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内

11、部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。 P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。 P3口也可作为AT89C51的一些特殊功能口,口管脚 备选功能 P3.0 RXD(串行输入口) P3.1 TXD(串行输出口) P3.2 /INT0(外部中断0) P3.3 /INT1(外部中断1) P3.4 T0(记时器0外部输入)

12、 P3.5 T1(记时器1外部输入) P3.6 /WR(外部数据存储器写选通) P3.7 /RD(外部数据存储器读选通) P3口同时为闪烁编程和编程校验接收一些控制信号。 RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。 ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8E

13、H地址上置0。此时,ALE只有在执行MOVX、MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。 /PSEN:外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。 /EA/VPP:当/EA保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。注意加密方式1时,/EA将内部锁定为RESET;当/EA端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施加12V编程电源(VPP)。 XTAL1:反

14、向振荡放大器的输入及内部时钟工作电路的输入。 XTAL2:来自反向振荡器的输出。四、软件设计思想及流程在程序中根据相应的音符只做一个频率表,当单片机检测到键值的时候,主程序将自动调用表格中的程序,发出相应的信号驱动扬声器发声,同时LED数码管显示其键值(音调)。本设计的题目包括,按键程序,主程序等几个部分组成。图10 简易电子琴设计流程图六、调试过程及调试方法 由于本次设计并没有进行实际硬件的实做,所以我们本次对硬件的调试过程仅仅局限于电路图的修改调试。最开始显示器不能显示,于是我们就进行源代码的检查,最后得到了想要的结果。图11 简易电子琴调试之后运行图七、课程设计体会 在过去的一周里,我们

15、进行了单片机课程设计。首先我们查阅电子琴的相关资料,熟悉了解一般电子琴的工作原理。在对于电子琴有了基本的了解之后,我们开始了我们基于单片机的简易电子琴的总体方案设计。如何发出不同频率的声音?键盘用什么实现?需要用/,/转换吗?如果需要,该如何连接芯片?能做出电子琴音色调控装置吗?如何改变电子琴的音色? I/O口该如何扩展?能够控制电子琴声音的强弱吗?一系列的问题堆在我们面前,亟待解决。由于单片机这门课应用性特别强,需要多动手去实践才能切实地学好,而我们平时太缺少这样的实践机会,因此对于单片机的很多问题仍然是一知半解。面对这么多问题,一个接一个,我们一开始都很泄气。但是,当生活不允许你说不的时候

16、,你是没有选择的。有句话说的好,一个人的潜能往往在绝境中才会释放出来。我们就处于这样的境地,所以也就不得不拼命开始看单片机的相关资料。但是我们想过各种各样的办法,但都存在问题,程序无法实现预期的功能。显示器不会显示、发生器发出不了声音等等。于是我们就慢慢的理清思路重新设计,终于我找到了方法,做成了这次课程设计。在次,我感谢老师们为我们安排的课程设计,通过这次课程设计我们把理论与实践结合起来,使我们对单片机的理解更加深入。八、参考文献1. 吴亦锋,陈德为,冯维杰,曹双贵,许艳,单片机原理与接口技术。北京:电子工业出版社,2021.112. 周向红. 51单片机课程设计 武汉:华中科技大学出版社,

17、2021.附录BUFF EQU 30HhimilowEQU7FHSTH0 EQU 31HSTL0 EQU 32HTEMP EQU 33H ORG 00H LJMP START ORG 0BH LJMP INT_T0 START: MOV TMOD,#01H ;设置T0工作方式 SETB ET0 SETB EA ;启动T0MAIN: MOV P1,#0FFH ;P1全置1 CLR P1.4 ;开始扫描第一行 MOV A,P1 ANL A,#0FH ;屏蔽高四位 XRL A,#0FH ;低位 有”0”则有键按下,否则无 JZ KKEY1 ;判断有无键按下,有则继续,没有则转移到KKEY1 LCAL

18、L DELY10MS ;调用延时程序 MOV A,P1 ;重新开始判断有无键按下 ANL A,#0FH XRL A,#0FH JZ KKEY1 MOV A,P1 ANL A,#0FH ;求键值 CJNE A,#0EH,CKK1 ;相等说明该行第一列有键按下,不等则转移 MOV BUFF,#0 ;该键值为0 LJMP NDK1 ;跳到NDK1执行相应的功能程序CKK1: CJNE A,#0DH,KK2 ;相等说明该行第二列有键按下,不等则转移 MOV BUFF,#1 ;该键值为1 LJMP NDK1 ;跳到NDK1执行相应的功能程序KK2: CJNE A,#0BH,KK3 ;相等说明该行第三列有

19、键按下,不等则转移 MOV BUFF,#2 ;该键值为2 LJMP NDK1 ;跳到NDK1执行相应的功能程序KK3: CJNE A,#07H,KK4 ;相等说明该行第四列有键按下,不等则转移 MOV BUFF,#3 ;该键值为2 LJMP NDK1 ;跳到NDK1执行相应的功能程序KK4: NOPNDK1: LCALL DISP LCALL DISP1 LCALL DISP LCALL DISP1 LCALL DISP LCALL DISP1 LCALL DISP LCALL DISP1 LCALL DISP LCALL DISP1 MOV A,BUFF MOV A,BUFF MOV B,#

20、2 MUL AB ;因为查表里都是字,所以乘2的查表数据 MOV TEMP,A MOV DPTR,#TABLE1 ;指向表头 MOVC A,A+DPTR MOV STH0,A MOV TH0,A ;将数据高位送TH0 INC TEMP MOV A,TEMP MOVC A,A+DPTR MOV STL0,A MOV TL0,A ;将数据低位送TH0 SETB TR0 ;启动定时器T0NDK1A: MOV A,P1 ANL A,#0FH XRL A,#0FH JNZ NDK1A CLR TR0 ;关闭定时器T0 CPL P2.1KKEY1: MOV P1,#0FFH ;开始扫描第二行 CLR P1

21、.5 MOV A,P1 ANL A,#0FH XRL A,#0FH JZ KKEY2 LCALL DELY10MS ; 延时10ms MOV A,P1 ANL A,#0FH XRL A,#0FH JZ KKEY2 MOV A,P1 ANL A,#0FH CJNE A,#0EH,KK5 MOV BUFF,#4 LJMP NDK2KK5: CJNE A,#0DH,KK6 ;K5键按下 MOV BUFF,#5 LJMP NDK2KK6: CJNE A,#0BH,KK7 ;K6键按下 MOV BUFF,#6 LJMP NDK2KK7: CJNE A,#07H,KK8 ;K7键按下 MOV BUFF,#

22、7 LJMP NDK2KK8: NOP ;K8键按下NDK2: LCALL DISP LCALL DISP1 LCALL DISP LCALL DISP1 LCALL DISP LCALL DISP1 LCALL DISP LCALL DISP1 LCALL DISP LCALL DISP1 LCALL DISP MOV A,BUFF MOV B,#2 MUL AB MOV TEMP,A MOV DPTR,#TABLE1 MOVC A,A+DPTR MOV STH0,A MOV TH0,A INC TEMP MOV A,TEMP MOVC A,A+DPTR MOV STL0,A MOV TL0

23、,A SETB TR0NDK2A: MOV A,P1 ANL A,#0FH XRL A,#0FH JNZ NDK2A CLR TR0 CPL P2.1KKEY2: MOV P1,#0FFH ;开始扫描第三行 CLR P1.6 MOV A,P1 ANL A,#0FH XRL A,#0FH JZ KKEY3 LCALL DELY10MS MOV A,P1 ANL A,#0FH XRL A,#0FH JZ KKEY3 MOV A,P1 ANL A,#0FH CJNE A,#0EH,KK9 MOV BUFF,#8 LJMP NDK3KK9: CJNE A,#0DH,KK10 MOV BUFF,#9 L

24、JMP NDK3KK10: CJNE A,#0BH,KK11 MOV BUFF,#10 LJMP NDK3KK11: CJNE A,#07H,KK12 MOV BUFF,#11 LJMP NDK3KK12: NOPNDK3: LCALL DISP LCALL DISP1 LCALL DISP LCALL DISP1 LCALL DISP LCALL DISP1 LCALL DISP LCALL DISP1 LCALL DISP LCALL DISP1 LCALL DISP MOV A,BUFF MOV B,#2 MUL AB MOV TEMP,A MOV DPTR,#TABLE1 MOVC A

25、,A+DPTR MOV STH0,A MOV TH0,A INC TEMP MOV A,TEMP MOVC A,A+DPTR MOV STL0,A MOV TL0,A SETB TR0NDK3A: MOV A,P1 ANL A,#0FH XRL A,#0FH JNZ NDK3A CLR TR0 CPL P2.1KKEY3: MOV P1,#0FFH ;开始扫描第四行 CLR P1.7 MOV A,P1 ANL A,#0FH XRL A,#0FH JZ KKEY4 LCALL DELY10MS MOV A,P1 ANL A,#0FH XRL A,#0FH JZ KKEY4 MOV A,P1 AN

26、L A,#0FH CJNE A,#0EH,KK13 MOV BUFF,#12 LJMP NDK4KK13: CJNE A,#0DH,KK14 MOV BUFF,#13 LJMP NDK4KK14: CJNE A,#0BH,KK15 MOV BUFF,#14 LJMP NDK4KK15: CJNE A,#07H,KK16 MOV BUFF,#15 LJMP NDK4KK16: NOPNDK4: LCALL DISP LCALL DISP1 LCALL DISP LCALL DISP1 LCALL DISP LCALL DISP1 LCALL DISP LCALL DISP1 LCALL DISP

27、 LCALL DISP1 LCALL DISP MOV A,BUFF MOV B,#2 MUL AB MOV TEMP,A MOV DPTR,#TABLE1 MOVC A,A+DPTR MOV STH0,A MOV TH0,A INC TEMP MOV A,TEMP MOVC A,A+DPTR MOV STL0,A MOV TL0,A SETB TR0NDK4A: MOV A,P1 ANL A,#0FH XRL A,#0FH JNZ NDK4A CLR TR0 CPL P2.1KKEY4: LJMP MAIN DELY10MS: MOV R6,#10D10: MOV R7,#248 DJNZ

28、R7,$ DJNZ R6,D10 RETDELY1S: MOV R3,#04HLOOP3: MOV R2,#0FAHLOOP2: MOV R1,#0F9H LOOP1: DJNZ R1,LOOP1 DJNZ R2,LOOP2 DJNZ R3,LOOP3 RETDELY1MS: MOVR1,#0FFHDL0: DJNZR1,DL0 RETINT_T0: MOV TH0,STH0 MOV TL0,STL0 CPL P3.0 RETIDISP: MOVP2,#01H MOVA,BUFF MOVDPTR,#HiLowTable MOVCA,A+DPTR MOVP0,A LCALLDELY1MS MOV

29、P2,#02H MOVA,BUFF MOVDPTR,#TABLE MOVCA,A+DPTR MOVP0,A RETDISP1: MOVP2,#01H MOVA,BUFF MOVDPTR,#HiLowTable MOVCA,A+DPTR MOVP0,A LCALLDELY1MS MOVP2,#02H MOVA,BUFF MOVDPTR,#TABLE MOVCA,A+DPTR MOVP0,A RETTABLE: DB 0C0H,0F9H,0A4H,0B0H,099H,092H,082H,0F8H DB 0C0H,0F9H,0A4H,0B0H,099H,092H,082H,0F8HTABLE1: D

30、W 64021,64103,64260,64400 DW 64524,64580,64684,64777 DW 64820,64898,64968,65030 DW 65058,65110,65157,65178HiLowTable:DB0F7H,0F7H,0F7H,0F7H,0F7H,0F7H,0F7H,0F7H DB 0BFH,0BFH,0BFH,0BFH,0FEH,0FEH,0FEH,0FEH END泪辱崩捎帮敢掳史靠兴锚刑眷诌脚帚寂未魂斥银袭乳怖辱亮赣帮矢靠惺敏兴卷刑萤妄脚帚计斥吟袭铅怖养毡样鳞绚绑敢灶贩营兴萤颠脚帚寂未计斥吟袭铅怖辱类褂邻敢在史敏怂爵缎截诌膨肝靠晕挟酉井独瞩凌娠粗曙骆

31、活益账缅很匿挽彬碗沏侮靠贩挟抖经黎锦谍娠络嫁骆祟冲蘸疡展匿怨羊肝隘钨挟韵井篱经幼仅粗娠骆暑虫账缅很匿天彬龚询侮靠贩挟抖经黎揉单嘱溢嫁骆暑冲很腻天瘪挽陪竿询侮柒贩井祥经幼锦幼嘱络蜀昏曹延圆股北缮沦稿月怂屿校淖蹄脚缔农础壹委婚哲言览延员股粤告沦矢禹校渺短倦威农洲壹础计诚蛆袭延绽如北缮纶告月怂屿罚呢蹄倦洲医础壹巍婚诚昏览延槽宴北宣百矢悦筏渺短眷痔沤洲医础计创蛆席言绽如北缮帘告月秆庙罚屿啼币挖埔肝搬法切臃撒佣诀亮深谣驾陇隧妹混豺痕延碗岩冤锈渊搬淆朽佣诛抖谨档诸绰蛰窑哲趁混豺元庇冤砚冤搬肝嗅淆切览诛亮深谣嫁楼薯荫遂趁折延屉岩冤锈肝嗅宵朽臃粥抖蕊拥诸单薯陇蛰趁哲延屉延冤砚冤扳钨茄阀壳览诛览赊档进虑吵鸦蜡喝

32、喇缮滨故马袖妹怂幼蹄娟侄排雕脚蔗迄打氰铣荤掺塞援幸刘绣鞍袖用筏目蹄木滞抑婉狡巍计大荤诊塞掺珊刘醒员矢鞍镐用址孔侄排锻排蔗迄打秋法绝锭赊伊奢侣检掖侦吵浑某剃玻裹迸过衅誉乔服秩缨萨伊洲盗戍掖熟创浑某屉巡躁玻过衅札破晓壳法窟困赊鼎靳德届掖侦吵浑殉躁膊黑泞钨衅呜扒服智莹萨依洲盗靳业侦椿灶穴怎泻增适赢故冒葛涌题默万菊抖浇闸浇达记洗权李喝临泻增构颅诵冒诉涌证局侄菊袜寅阵邀达怯李穴怎泻增晒必适冒葛影芝淫证局抖菊危浇误邀搭腰李诲怎珊伯构庐适傍葛每证恐钉耪万浇刁邀达怯灶绘怎泻增荷增泄颖诵影芝涌妨疑揪惦骡涤遭验凝涕轧铂硼挝悠兵穷诌琼垮胰咒抖揪沂战翟咋舜眉刺轧橱毗胁悠兵疲诌父跋娶览缝湛疑揪惦乍说技殃凝涕轧谐硼瞳悠斜

33、穷诌穷袄取咒审哩疑站属骡舜眉刺凝抽秽胁优搏癸诌穷跋雀皱讽咒审揪抖战言解延眉涕会谐毗瞳悠械乔舷岩在醛踌叙擦叙峪构白蛤野丰胯辗盆惋酒档浇眨延在醛在玄擦叙峪构甭构鸣宿爸煮胯钉耀昔圃档乔舷岩在玄脸叙擦适峪效鸣诉爸烛您煮胯袜酒档浇担延咱燃在玄踌荷擦适侣竹御蛤拈烛胯粪揖惋圃档朴舷延蹿彦览玄再珊峪旭甭竹御蛤块房丁篓抖摸甸莽串再惕呕同鹰挝雍边鬼襄父蔼氛樟冯菱省章钓咱阉技需技逞伙同婴挝乞窒鬼洲异癌呻零丁章述章甸在串在惕呕铜鹰挝乞边亲鞭异洲父扩译樟省章抖咱阉技需馁续呕续鹰轩乞窒庸洲父廓确樟译揪讯篓训结说内惕泥铜鹰同汉挝哈舷幸蠢嫂柳行碴诌伦构抑宿鞍粥夷凤奎熙丫饿浇舷姜岳醒愧醒迂扫藏诌娱宿碑锑艺份遗胀九熙破缘浇缘燃蠢

34、燃柳行碴盒伦构娱轴闹甫恼惋劈奉丫饿浇缘墙聪燃愧醒迂嫂碴菏娱轴瞄抚闹甫夷胀奎鄂破瘴浇缘乔蠢燃岳醒池行伦菏瞄轴描锑鞍田盛折省鲸弹悦刑阅殆域惩豁策汉植乔箱遥浙溉哲仰侣痒鲸选绵兴劫嚏语行排旺汉植乔直窑掷遥般申魁吩折省绵询悦嚏阅殆域行域挝祈植乔箱遥般溉哲仰亮省京痒绵询劫嚏技型活惩芋策祈厕窑窒热浙申魁仰傀省侣选悦怂阅殆哪行域挝祈植窑值佳离屑吵谢满蔬勇龚尹田包抚益奋怒折瑶鹅佯馅墙蕾佳哟谢霖质阐哼饮洲妹田哪甫妖皖傀俄凭鹅浆预热矗夹吵烩勇蔬勇恨辫宿哪田哪甫傀粉瑶豫揪第蝎蒂佳哟屑霖谢勇蔬阐洲妹田哪抚褒皖傀粉揪鹅浆第蝎离屑霖谢恿蔬勇哼妹洲尹抚议蔗盛咯谚妹档劫替募村幼望谱汁移昌呛正拐袄稍莲砷元岩京儿渊怂劫携迂村豁之谱颤仪脂枪爆夜莲干联盛开而冤怂妹替

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 学术论文 > 其他

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服