收藏 分销(赏)

2021年全球光刻机行业概览.pdf

上传人:宇*** 文档编号:4051545 上传时间:2024-07-26 格式:PDF 页数:37 大小:4.02MB
下载 相关 举报
2021年全球光刻机行业概览.pdf_第1页
第1页 / 共37页
2021年全球光刻机行业概览.pdf_第2页
第2页 / 共37页
2021年全球光刻机行业概览.pdf_第3页
第3页 / 共37页
2021年全球光刻机行业概览.pdf_第4页
第4页 / 共37页
2021年全球光刻机行业概览.pdf_第5页
第5页 / 共37页
点击查看更多>>
资源描述

1、2021年卡脖子系列全球光刻机行业概览2021 Research Report on the Global Lithography Systems Industry Overview2021年全世界業界研究概览报告标签:芯片、半导体、光刻机报告作者:莫子庆2021/04 光刻机的制造集合了精密光学、精密仪器、高分子物理与化学、机械自动化软件、高精度环境控制和流体力学等多项世界顶尖先进技术,光刻机作为芯片制造前道工艺七大设备之首,是人类文明的智慧结晶,被誉为“半导体工业皇冠上的明珠”。光刻机是制造芯片最为核心机器设备,被誉为“半导体工业皇冠上的明珠”01 光学元器件制造难点有两个地方,一是曝光能

2、力,二是镀膜能力。曝光镜头对于,膜系设计要求非常高,首先需要用仿真软件设计结构,实现特定的光学效果。特殊设计的掩膜需要用到高端镀膜材料、高精度镀膜设备和高超的镀膜工艺才能够生产出。高端光刻机制造技术高度复杂,光学镜头和光源设备是最为核心元器件设备02 随着人工智能、智能驾驶、5G等新兴市场的不断发展,全球集成电路行业市场规模稳定增长,中国凭借着巨大市场需求、丰富的人口红利好、稳定的经济增长及有利的国家产业政策环境等众多优势条件,中国集成电路产业实现快速发展,2012-2018年CAGR达20.3%,市场增速明显高于全球整体水平。国家政策大力扶持下,国产替代迎来曙光03全球每年高端芯片需求持续高

3、速增长2020年,中国芯片进口总额超过3,500亿美元,创下历史新高,在5G、新能源汽车、物联网等领域新需求趋势下,全球半导体产能持续紧张。中国集成电路产业的快速发展尚不能完全满足日益增长的市场需求,中国政策积极支持集成电路发展,晶圆制造是集成电路产业链中核心环节,中芯国际作为中国自主研发集成电路制造最先进的公司,在众多优势条件下,有望进一步提升全球成熟制程领域市占率。摘要 名词解释-10 光刻机行业综述-11应用概述-12EUV光刻机工作原理-13EUV光刻机制造工艺难点与优势-14光刻机主流产品分析对比-15发展历程-16发展现状-18 光刻机产业链分析-19上游:光源-21上游:镜头-2

4、2下游:晶圆代工厂-23 光刻机行业发展前景-26市场规模-27政策端-29企业端-31 全球光刻机行业竞争格局-32 中国光刻机行业企业推荐-35中芯国际-36上海微电子-37目录CONTENTS 方法论-38 法律声明-39目录CONTENTS Terms-10 Overview of Photoresist Machine-11Application Overview-12The Operating Principle of Photoresist Machine-13The Difficulties And Advantages of EUV Photoresist Machine-1

5、4The Comparison of Different Photoresist Machine-15Development History-16Development Status-18 Analysis of Photoresist Machine-19Upstream:The Light Source-21Upstream:The Lens-22Downstream:Wafer Foundry-23 Development Prospects of the Photoresist Machine-26Market Size-27Policy-29Company view-31 Compe

6、titive Situation32 Enterprise Recommendation of the Photoresist Machine-35SMICS-36SMEE-37目录CONTENTS Methodology-38 Legal Statement-39目录CONTENTS92021 LeadL图表1:芯片晶圆加工流程-12图表2:ASML Twinscan 简易工作原理图-13图表3:EUV光刻机解析图-14图表4:EUV与ArF光刻机分析图-15图表5:光刻机领域发展历程时间表-16图表6:光刻机产品解析表-17图表7:全球光刻机行业竞争格局-18图表8:光刻机行业产业链-20

7、图表9:全球光学镜头行业公司对比-21图表10:全球光源行业公司对比-22图表11:全球晶圆代工行业市场规模,2021-2025年预测-23图表12:全球晶圆管代工行业市场份额,2019年-23图表13:全球晶圆管代工厂产能分别占比,2019年-24图表14:全球晶圆代工行业营收分别占比,2019年-24图表15:全球光刻机年度销量,2021-2025年预测-26图表16:全球光刻机市场规模,2021-2025年预测-26图表17:全球光刻机产品结构(按销量计),2020年-27图表18:全球光刻机产品结构(按营销额计),2020年-27图表19:中国半导体产业相关政策,2013-2020年-

8、29图表20:中国半导体产业相关政策,2013-2020年-30图表21:ASML公司股权架构图-31图表目录List of Figures and Tables102021 LeadL图表22:全球光刻机行业市场规模(按市场份额计)-33图表23:2018年全球高端光刻机出货量-34图表24:2018年高端光刻机市场占比-34图表25:中芯国际营收规模及归母净利润,2016-2020年-36图表26:中芯国际营收规模及归母净利润,2016-2020年-37图表目录List of Figures and Tables2021 LeadL11测量台与曝光台:承载硅片工作台。激光器:用于制造光源的

9、设备,光刻机核心设备之一。光束矫正器:矫正光束入射方向,控制激光束尽量平行。能量控制器:用于控制最终照射到硅片上的能量,曝光不足或过足都会严重影响成像质量。光束形状设置:设置光束为圆型、环型等不同形状,不同的光束状态有不同的光学特性。遮光器:在不需要曝光的时候,阻止光束照射到硅片。能量探测器:检测光束最终入射能量是否符合曝光要求,并反馈给能量控制器进行调整。掩模版:内部刻着线路设计图的玻璃板。掩膜台:承载掩模版运动的设备,运动控制精度是nm级。物镜:用于补偿光学误差,并将线路图等比例缩小。硅片:用硅晶制成的圆片。硅片有多种尺寸,尺寸越大产率越高。内部封闭框架与减振器:将工作台与外部环境隔离,保

10、持水平,减少外界振动干扰,并维持稳定的温度、压力。名词解释2021 LeadL12行业综述01020305042021 LeadL13来源:头豹研究院编辑整理芯片晶圆加工流程芯片制造核心设备应用概述光刻机 芯片前道工艺七大设备包括光刻机、刻蚀机、镀膜设备、量测设备、清洗机、离子注入机以及其他设备,光机机主要作用为将掩膜版上的芯片电路转移到硅片,是IC制造最为核心环节头豹洞察半导体芯片产业链主要为上游端IC设计、中游端IC制造、下游段IC封测三大环节。而整个芯片制造中IC制造是最复杂、最为关键的工艺步骤。光刻机分为三类:一是主要用于生产芯片的光刻机;二是用于封装的光刻机;三是用于LED制造领域的

11、投影光刻机。其中用于生产芯片的光刻机涉及众多世界先进技术,中国光刻机与国外顶尖光刻机存在的差距比较明显。随着物联网高速发展,芯片不限用于手机和电脑,已涉及生活日常用品中如冰箱、洗衣机、空调和电视等物品。全球集成电路行业销售额由2012年2,382亿美元增长至2018年3,933亿美元,CAGR达8.72%。功能实现功能实现晶圆清洗、热氧化晶圆清洗、热氧化光刻(涂胶、曝光、显影)光刻(涂胶、曝光、显影)刻蚀(干法、湿法)刻蚀(干法、湿法)离子注入、退火离子注入、退火扩散扩散化学气相沉积化学气相沉积物理气相沉积物理气相沉积化学机械研磨化学机械研磨晶圆(加工后)检测晶圆(加工后)检测包装入库包装入库

12、电路布图电路布图光掩膜制作光掩膜制作多次循环逐层堆积电路图实现特定功能多次循环逐层堆积电路图实现特定功能光刻机光源掩膜版缩图透镜待曝光晶圆将光罩上设计好的集成电路图形通过光线的曝光印在光感材料上光束2021 LeadL14来源:头豹研究院编辑整理ASML Twinscan简易工作原理图头豹洞察EUV光刻机工作原理分析 芯片性能受晶体管密度影响,同样面积下晶体管越多,即晶体管线宽越小,芯片性能越强,其中纳米单位即代表了相应的光刻工艺能制造出的晶体管线宽光源激光器能量控制器硅片掩膜台掩膜版能量探测器内部封闭框架测量设备光速矫正器(3个)减震装置测量台曝光台光刻技术是指光刻胶在特殊波长光线或者电子束

13、发生化学变化,通过曝光、显影、刻蚀等工艺过程,将设计在掩膜版上的图形转移到衬底上的图形精细加工技术。光刻机工作原理:激光器作为光源发射光束穿透掩膜版及镜片,经物镜补偿光学误差,将线路图曝光在带有光感涂层的硅晶圆上,然后显影在硅片上,理论上相当于与照相机加投影仪组合。光刻机的构造分为:照明系统(光源+产生均匀光的光路)、Stage系统、镜头组、搬送系统、Alignment系统。此外光刻机工作温度必须保持在23度,确保硅片在恒温和无尘环境。光刻机主要性能指标:支持基片尺寸范围、分辨率、对准精度、曝光方式、光源波长、光强均匀性、生产效率等。光刻机性能决定了晶体管的尺寸,晶体管的尺寸对于芯片的性能具有

14、重大意义。随着科技高速的发展,对高性能芯片需求越来越高,不断追求尺寸更小、性能更强的芯片。光束形状设置遮光器测量设备2021 LeadL15来源:头豹研究院编辑整理EUV光刻机解析EUV光刻机制造工艺难点与优势 EUV极紫外光刻机是全球光刻机发展的历史转折点,被称为“现代光学工业制造之花”,其制造难度之大全球唯有ASML公司才能生产强大光源极紫外光线极其容易被物质吸收,EUV光束在多次反射后,只有不到2%光线能使用,能量转化率极低。因此需使用强大光源,以此保证射线光源足够强,导致耗能巨大。真空环境光学系统设计独特光刻机/掩膜版EUV光刻机制造工艺难点与ArF光刻机不一样,EUV光刻机最高分辨率

15、可达1nm,在未来可能将突破1nm制造,EUV光刻技术可提供3-5倍的分辨率增强。EUV光刻机优势极紫外光波长为13.5nm,由于极紫外光线容易被镜头玻璃等材料吸收,而且也会被空气和气体吸收导致影响折射率变化,因此腔体必须采用真空系统和无尘车间。强大的EUV光线使光刻工程步骤大大缩减,光刻工艺相对于ArF光刻机更加简单,使得晶圆生产效率得到极大的提升。复杂的光学系统必须使用反射镜代替透镜,布拉格反射器为一种复式镜面设计,可将多层反射集中成单一反射。反射镜制造精度要求非常高,因此制造成本非常昂贵。普通光刻机使用化学放大光刻胶,由分子链聚合而成,但此材料不易吸收EUV光线,容易导致形成的图形轻微模

16、糊。EUV易破坏普通掩膜版,需特殊掩膜版。分辨率生产效率EUV光刻技术演示大功率激光靶材料真空腔步进扫描4倍掩膜版多层涂层镜EUV步进扫描承片台投影掩膜版1/4图形2021 LeadL16来源:头豹研究院编辑整理EUV与ArF光刻机分析头豹洞察前道制程光刻机主流产品分析对比 EUV与DUV光刻区别在于所使用的理论分辨率、物镜组和光源不同,ArF 则是DUV深紫外光刻机所用的光源2007年,ASML推出第一台浸没式光刻机TWINSCAN XT:1900i。浸入技术:镜头和硅片之间的空间浸泡于液体之中,采用纯净水且折射率为1.44,所以ArF光线加浸入技术实际等效的波长为134nm(193nm波长

17、/水折射率1.44=134nm)。2017年,ASML成功研发出第五代EUV光刻机,采用将准分子激光照射在锡等靶材,激发出13.5nm光子,作为光刻机光源。ASML目前使用的EUV光源是“高能脉冲激光打击到锡液滴靶上,形成等离子体,等离子体的发光被聚光镜收集作为光刻光源”在这个过程中要控制锡液滴的流速,让高能脉冲激光每发射一次,就能够打击到锡液滴靶上,形成等离子体。ArF光刻机EUV光刻机分辨率EUV光刻机最基本分辨率为7纳米,理论上可实现1nm制程工艺。光源波长仅为13.5nm,分辨率可达ArF光刻机35倍。使用反射镜组,EUV光刻机所使用的反光镜为0.33。缺点:反光镜制造难度极高,导致E

18、UV光刻机价格昂贵。EUV光刻机用的是EUV光源。全球仅为美国公司Cymer与日本Gigaphoton才能制造,目前全球唯一量产EUV光刻机光源设备,由Cymer公司提供。DUV光刻机最小分辨率为7纳米使用浸入式技术,制程可达3-5nm。但成本巨大技术难度极高,因此DUV极限分辨率为7nm。DUV光刻机的透镜NA为1.3,1.35。使用合成石英制造非球面镜片,实现较高透过率。DUV光刻机用的是准分子Arf光源,目前能够制造出Arf光源仅有美国Cymer、日本Gigaphoton和中国科益虹源这三家。物镜组光源2021 LeadL17来源:头豹研究院编辑整理光刻机领域发展历程时间表1980-90

19、s1980-90s2000s2000s2010s2010s1965年,英特尔公司创始人之一戈登摩尔博士提出摩尔定律,预言半导体集成电路密度每年将会翻倍,此定律为半导体领域的原生驱动力。早期,光刻机并未像如今超高端EUV光刻机集合了各领域顶尖技术,早期光刻机工作原理与幻灯机相近。60年代末,日本佳能与尼康进 入 光 刻 机 领 域。1973 年,PerkinElmer公司推出投影式光刻系统,此后PerkinElmer公司在光刻机领域一直处于主导地位。尼康和佳能两大光学巨头公司在东京电子、日立、迪恩士等一系列配套日本厂商的支持下,在1984年后主导着全球光刻机领域,市场份额占比达40%。GCA公司

20、,随后推出真正具有现代意义的自动化步进式光刻机Stepper。1984年4月,ASML正式成立。1985年ASML与蔡司(Zeiss)公司合作改进光学系统,凭借PAS-2500产品占有10%市场份额。光刻设备按照曝光方式分为Stepper和Scanner。Stepper运作方式,通过传统地一次性将整个区域进行曝光;Scanner则是镜头沿Y方向细长空间曝光,硅片和掩膜同时沿X方向移动经过曝光区动态完成整个区域的曝光。2002年,台积电公司林本坚博士提出“浸没式光刻机技术”,打破困扰全球光刻机领域发展长达20多年无法突破193nm光源的技术难题。2003年,ASML和与台积电合作研究“浸没式光刻

21、机技术”解决方案,并成功推出第一台具备浸没式光刻机技术的产品。2005年,摩尔定律的延续再度陷入停滞,EUV LLC组织联合数百位顶尖科学家,共同研究EUV光刻技术,并验证EUV光刻机的可行性。EUV极紫外光刻技术是制程突破10nm的关键,2010年ASML公司成功研发首台EUV光刻机NXE:3100。2013年,ASML收购准分子激光源巨头Cymer,同年推出NXE:3300B,2017年推出第三款EUV光刻机NXE:3400B。目前为止,ASML凭借EUV光刻机,成为光刻机领域超高端市场的垄断企业,最新EUV极紫外光技术能达到5nm精度。中国上海微电子SMEE,已从90nm制程一举突破28

22、nm工艺,在后道封装光刻机领域,全球市占率为40%。全球光刻机发展历程(1/2)在摩尔定律的驱动下,光学光刻技术经历五代变革。光刻设备由最早的普通光源到使用193nm波长的DUV激光,技术上跨越了多个重要节点,最新光刻技术达到波长13.5nm,制程节点提高到7-3nm1960-70s1960-70s2021 LeadL18来源:头豹研究院编辑整理光刻机产品解析表全球光刻机发展历程(2/2)光刻机的进化其实是不断降低波长的进程,光源波长决定晶体管线宽,波长越短线宽越小然而芯片性能就越强光源类型波长(Wavelength)制程节点(nm)对应光刻机代数EUV光源(Extreme Ultraviol

23、et Lithography)13.5nm7-3nm极紫外式光刻机第五代DUV光源(DeepUltraviolet Lithography)ArF+immersion193nm(等效134nm)45-7nm/130-65nm浸入步进式/步进投影式光刻机第四代F2157nmArF193nmKrF248nm180.13nm扫描投影光刻机第三代汞灯光源i-Line365nm800-250nm接触式/接近式光刻机第二代h-Line405nm第一代g-Line436nm分辨率套刻精度光刻机性能决定性技术指标瑞利公式:R?R:分辨率;代表投影最小图像的能力:光源波长;K1:工艺相关参数;范围在0.25-0

24、.4NA:数值孔径;决定实际分辨率分辨率越小,代表芯片性能更强套刻精度(OverlayAccuracy):指前后两道光刻工序之间彼此图形的对准精度(3)。对准的偏差直接影响产品良率。高性能光刻机,套刻精度提供两个数值,一种是单机自身的两次套刻误差,另一种是两台设备(不同设备)间的套刻误差。2021 LeadL19来源:头豹研究院编辑整理全球光刻机行业竞争格局头豹洞察全球光刻机领域发展现状 ASML作为全球唯一一家生产高精度光刻机公司,其EUV极紫光刻机用于生产5nm芯片,垄断全球高端光刻机的供应,光刻机领域未来竞争格局难以改变全球光刻设备格局:ASML公司在超高端光刻机领域独占鳌头,成为唯一供

25、应商,旗下产品覆盖全部级别光刻机设备。目前,全球光刻机市场主要竞争公司为ASML,尼康(Nikon)和佳能(Canon)三家,从光刻机销售额来看,2019年合计市场份额占全球光刻机市场90%以上。从企业角度,Canon主要光刻机销售集中在i-line光刻机;Nikon光刻机销售则纵向跨度较大,在除EUV之外的类型均有涉及,其中以Arf和i-line光刻机领域较为突出;ASML,则在除i-line光刻机之外领域均具有较强的主导地位。尼康公司在光刻机行业发展呈现持续下滑态势,但凭借多年技术积累位居二线供应商地位;佳能公司只能屈居三线;上海微电子装备(SMEE)作为后起之秀,在前道光刻机领域暂时只提

26、供低端光刻机。早期,佳能投入巨额资金研发“干式微影光刻机”,但由于制造成本极高,被市场所淘汰。随后佳能专注于低端产品i-line和KrF光刻机,并逐渐减少在半导体光刻机领域的投资,转向面板光刻机领域。由于光刻设备对光学技术和供应链要求极高,拥有极高技术壁垒,已成为高度垄断行业。上海微电子与ASML在光刻机领域的差距客观反映中国和西方在精密制造领域差距,超高端光刻机关键零部件来自不同西方发达国家,来自美国光源,德国镜头和法国阀件等,所有核心零部件皆对中国禁运,中国大学研究机构在半导体领域也相对偏薄弱,无法提供有效技术支持,致使中国光刻机技术处在弱势地位。在未来时间里,中国光刻机难以追赶世界光刻机

27、世界水平。TwinscanTwinscanTwinscanTwinscanTwinscan XTTwinscan XTPas5500Pas5500光源:EUV节点:7nm/5nm光源:EUV节点:7nm/5nm光源:ArF+浸入式节点:728nm光源:ArF+浸入式节点:728nm光源:ArF/KrF/i-line节点:65nm+光源:ArF/KrF/i-line节点:65nm+光源:ArF/KrF/i-line节点:90nm+光源:ArF/KrF/i-line节点:90nm+超高端超高端中端中端高端高端低端低端2021 LeadL20产业链分析0102030504212021 LeadL光刻

28、机行业产业链下游下游上游上游中游中游来源:头豹研究院编辑整理光刻机行业产业链分析全景图 光刻机行业产业链中最为核心设备分别为光学镜头和光学光源,其镜头控制光学系统的精密度以及光源决定使用的波长,光刻物镜数值孔径与光源波长决定了光刻机的工艺能力镜头光源FoundryIDM光刻机卡尔蔡司是全球光学和光电行业技术领导者,拥有170多年光学行业发展历史。在超高端光学镜头市场,卡尔蔡司处于主导地位。Cymer 和 Giaphoton 是全球光学光源制造领域技术领导者,EUV光源唯有Cymer才能生产。中国科益虹源的光源技术,弥补中国在高端光源制造领域的空白。全球半导体前道光刻机整机集成领域,长期由ASM

29、L、佳能和尼康三家公司垄断,三家公司占据市场份额达99%,其中ASML市场份额常年达60%以上,呈现市场垄断地位。上海微电子作为后起之秀,芯片后道封装领域光刻机占有中国市场份额的80%,全球市占率为40%。Foundry:不从事IC设计,只接受IC设计公司委托制造的公司。2019年,台积电占全球IC晶圆制造市场份额达55%。IDM:即垂直整合制造工厂。垂直整合制造 指从设计,制造,封装测试到销售自有品牌IC都一手包办的半导体垂直整合型公司。2021 LeadL22来源:头豹研究院编辑整理全球光学镜头行业公司对比头豹洞察光刻机领域产业链分析光学镜头 高精密光学镜片是光刻机核心部件之一,高数值孔径

30、的镜头决定光刻机分辨率以及套值误差能力,EUV极紫外光刻机唯一可使用的镜头由卡尔蔡司生产光学镜头行业市场化程度较高,在不同应用领域市场竞争格局呈现不同特点:1、安防视频监控市场:中国厂商占主导,但国际高端市场仍被日系等厂商占据;2、车载镜头:市场集中度较高,市场仍以日系和美系光学厂商为主,中国仅舜宇光学处于领先位置;3、新兴消费类电子:市场细分较多,且多数仍处于前期培育阶段,光学镜头厂商市场集中度较低,无明显优势厂商;4、机器视觉:市场主要被德系和日系光学厂商占据。在光刻机领域,全球光学镜头可用于光刻机仅为三家,分别为卡尔蔡司、尼康和佳能。用于超高端EUV极紫外光刻机镜头便由卡尔蔡司提供,长期

31、以来为ASML光刻设备提供高效能光学镜头。卡尔蔡司主要产品类型应用场景P型、E型、L型和自动变焦镜头等类型主要为半导体制造设备,以及测量系统、显微镜、医疗技术、望远镜、民用光学如相机和摄影镜头等徕卡M系列、R系列、APO系列、TELE中距离望远镜头、TELYT长距离望远镜头测量系统(工程、地学空间影响、工业、HDS高清晰等测量)、显微镜系统、医疗技术、相机和摄影镜头施耐德Reomar2.8/45镜头、Xenar3.5/50镜头大型科研用途、民用光学透镜如相机和摄影镜头等尼康大光圈广角系列镜头:AF尼克尔、AF变焦尼克尔、DX系列镜头、半导体制造设备,以及测量系统、显微镜、医疗技术、眼睛镜片、民

32、用光学如相机和摄影镜头等、望远镜佳能EF、EF-S、EF-M、TS-E、MP-E等系列半导体制造设备,以及测量系统、显微镜、医疗技术、眼睛镜片、民用光学如相机和摄影镜头等、望远镜2021 LeadL23来源:头豹研究院编辑整理全球光源行业公司对比头豹洞察光刻机领域产业链分析光源 高性能光刻机需要体积小、功率高和稳定的光源,主流EUV光源为激光等离子光源(LPP),目前只有美国厂商Cymer和日本厂商Gigaphoton才能够生产从1986年开始,Cymer正式进入半导体行业,发明光蚀刻微影技术所需的深紫外光,目前拥有超过3500套光源安装在世界各地的光刻设备上。Cymer所占市场份额近70%,

33、世界光源制造领域的领头羊。2013年,Cymer被ASML收购共同研发EUV光源技术,为其光源技术提供保障。Gigaphoton于上世纪90年代开始进入中国市场,最先端产品浸没式光刻ArF激光器,凭借技术的稳定和低操作成本等方面获得全世界客户好评,近年来在中国市场的装机数量也持续增加,预计未来装机量将逐渐增长,Gigaphoton占70%中国市场份额。中国科益虹源公司自主研发设计生产的首台高能准分子激光器,以高质量和低成本的优势,填补中国在准分子激光技术领域的空白,打破国外厂家对该技术产品长期市场垄断局面。福晶科技公司生产的KBBF晶体属于激光设备的上游关键零部件,凭借KBBF晶体技术,福晶科

34、技公司在该产品领域处于主导地位。科益虹源福晶科技GigaphotonCymer功能特性应用现状带宽窄运行速度高可靠性强为半导体制造中最关键的光蚀刻微影技术所需的深紫外光源。ASML超高性能光刻机NXE 3400B,则是使用Cymer激光源。空间相干性低良好的曝光面光束均匀性光源ArF浸没式激光器“GT66A”和KrF激光器“G60K,被广泛运用在大容量存储芯片和半导体光刻机制造。高光学均匀性角度带宽、小的离散色优良的物化性质KBBF晶体是目前唯一可直接倍频产生深紫外激光的非线性光学晶体。用于建造超高分辨率光电子能谱仪、超导测量、光刻技术等前沿科学研究。高质量、低成本高性能转化功能周期快目前已完

35、成6khz、60w光刻机光源的制造,该光源即为现阶段主流ArF光刻机光源,上海微电子即将交付的28nm光刻机部分光源由科益虹源提供。2021 LeadL24来源:头豹研究院编辑整理全球晶圆代工行业市场规模,2021-2025年预测光刻机领域产业链分析晶圆管代工厂(1/2)全球晶圆代工市场呈现一超多强现状,国内先进制程技术与国外代工厂有明显差距待突破全球晶圆管代工行业市场份额,2019年单位:亿美元单位:百分比CAGR2016-202011.5%2020-2025E9.08%6016876239281034115312851433159701002003004005006007008009001

36、,0001,1001,2001,3001,4001,5001,6001,70020172018201920202021E2022E2023E2024E2025E51.0%18.0%8.0%7.0%5.0%1.0%1.0%1.0%7.0%台积电三星电子格芯联华电子中芯国际HHGraceVISPSMCOther2019年台积电以51%的市场占有率处于绝对领先的地位,三星和格芯分列第二、第三,中国厂商中芯国际暂列第五。中芯国际受限于美国出口的管制条例,在先进制程28nm-14nm等领域份额较小。随着中芯国际产能扩张和技术成熟,未来有望将制程扩展到12nm制造以上,从而提升市场份额。2019年全球代工

37、厂市场规模为623亿美金,同比下滑9.32%,主要是受到智能手机出货下降的影响。2020年在5nm/7nm高端制程及产能利用率提升背景下,全球晶圆代工市场产值达到928亿元,同比增长49%.,预计2025年晶圆代工行业规模达1,597亿美元。2021 LeadL25来源:头豹研究院编辑整理全球晶圆市场需求端分析头豹洞察光刻机领域产业链分析晶圆管代工厂(2/2)全球集成电路市场空间广阔,在电子设备、通讯和军事等方面得到广泛运用,在需求端主要以低制程晶圆为主28nm是成熟制程与先进制程分水岭,28nm及以上被称为成熟制程,主要用于MCU、移动设备、物联网和汽车电子等;28nm以下则是先进制程,应用

38、于智能手机、CPU、矿机ASIC等。台积电2020年5nm实现量产。预计在2022年,3nm进行规模化量产。格芯和联华电子均已宣布暂缓10nm以下制程的研发。目前芯片先进制程领域竞争只剩下台积电和三星两家。中国凭借着巨大市场需求、丰富的人口红利好、稳定的经济增长及有利的产业政策环境等众多优势条件,中国集成电路产业实现快速发展,2012年-2018年CAGR达20.3%,市场增速明显高于全球整体水平。全球晶圆管代工厂产能分别占比,2019年全球晶圆代工行业营收分别占比,2019年54.0%7.0%10.0%24.0%5.0%other12-20nm22-32nm40-65nm10nm&sub10

39、nm32.0%21.0%14.0%16.0%17.0%Other40-65nm22-32nm12-20nm10nm&sub10nm从制程工艺来看,领先工艺(5nm+7nm)占据约12%的市场份额,全球晶圆需求主要为40nm以上制程晶圆为主。目前全球晶圆代工市场仍是处于供应不求的局面,成熟制程需求端持续增长,将迎来量价齐升态势。从收入结构方面,40-65nm和12-20nm是当前占比最大的制程节点。5G、新能源汽车和物联网的渗透率提升将加大成熟制程的晶圆需求,最高制程7nm市场规模预计达85亿美元2021 LeadL260102030504发展前景2021 LeadL27来源:头豹研究院编辑整理

40、全球光刻机年度销量,2021-2025年预测全球光刻机行业市场规模(1/2)全球半导体设备行业复苏,受益于下游晶圆巨大需求、服务器云计算和5G基础建设的发展,带动相关芯片的需求,2020年光刻机销售额增速稳定提升全球光刻机市场规模,2021-2025年预测单位:台单位:人民币亿元294374358413450491535584637010020030040050060070080020172018201920202021E2022E2023E2024E2025ECAGR2016-20209.5%2020-2025E7.2%CAGR2016-2020E15.8%2020-2025E10.5%根据

41、ASML、佳能及尼康公司公告显示,全球光刻机销量413台,同比增长15%,按季度依次是95台、95台、97台、126台,分别同比增长19%、25%、8%、12%。2020年EUV光刻机销量31台占比8%;ArFimmersio销量80台占19%;ArFdry、KrF、i-line光刻机销量分别为32台、130台、140台,销量占比依次是8%、31%、34%。伴随着物联网和5G市场高速发展,对芯片性能要求越来越高,对高性能光刻机设备需求也将进一步加大。近年来下游晶圆代工厂加速扩建产能,带动光刻机设备需求并有望持续增长。目前7nm EUV光刻机平均每台价格达到1.2亿欧元,但晶圆代工厂对高端光刻机

42、的需求量仍然不减。597788109136158182211245283050100150200250300201620172018201920202021E 2022E 2023E 2024E 2025Ehttps:/ LeadL28来源:头豹研究院编辑整理全球光刻机产品结构(按销量计),2020年全球光刻机行业市场规模(2/2)全球光刻机需求端集中在中低端光刻机产品,随着下游晶圆代工厂对晶圆尺寸和制程要求提高,高制程光刻机需求持续增长,高端光刻机销售额占全球市场份额的41%全球光刻机产品结构(按营销额计),2020年单位:百分比单位:百分比光刻机行业市场规模增量主要来自高性能EUV光刻机,

43、超高端和高端产品EUV与ArF光刻机销售额占光刻机市场销售额的81%。2020年EUV光刻机销售额55亿美元同比增长76%;ArF销售额54亿美元同比下降7%,但占全球光刻机市场40%;ArFdry、KrF、i-line光刻机销售额占比依次是5%、11%、3%。8%19%8%31%34%EUVArFArF dryKrFi-Line中低端市场需求量不断增长,主要受先进封装的推动。随着步进技术发展,2015年至2020年先进封装光刻设备出货量年复合增长率达到15%,2020年总数将超过250台/年。中低端光刻机由于较低的技术壁垒,竞争者数量较多,尼康与佳能凭借价格优势占据中低端市场主导地位。41%

44、40%5%11%3%EUVArFArF dryKrFi-Line2021 LeadL29来源:中国政府网,头豹研究院编辑整理中国半导体产业相关政策,2013-2020年头豹洞察中国半导体行业发展前景政策端(1/2)中国半导体产业相关政策的陆续发布与实施,增强产业创新能力和国际竞争力,努力实现核心技术及产品国产化,促进中国半导体产业链自主可控化2020年7月,中共中央及国务院颁发关于新时期促进集成电路产业和软件产业高质量发展若干政策 该政策减免半导体企业税率、提供资金支持力度,极大地促进和规范了半导体硅片行业的健康发展。2018年8月,工业和信息化部发布扩大和升级信息消费三年行动计划,该政策加快

45、提升产业供给能力、扩大信息消费覆盖范围、优化发展环境,充分释放发展活力和内需潜力。中国相继推出多项半导体产业相关政策,增强产业创新能力和国际竞争力,努力实现核心技术及产品国产化,促进中国半导体产业链自主可控化。政策名称颁布日期颁布主体政策要点新时期促进集成电路产业和软件产业高质量发展若干政策2020-07国务院国家鼓励集成电路设计、装备、材料、封装、测试企业和软件企业,自获利年度起,第一年至第二年免征收企业所得税,第三年至第五年按照25%的法定税率或减半。关于政协十三届全国委员会第二次会议第2282号提案答复函2019-10工信部持续推进工业半导体材料、芯片、器件及IGBT模块产业发展,根据产

46、业发展形势,调整完整政策实施细则,更好的支持产业发展。战略性新兴产业分类(2018)2018-10国家统计局加快制造强国建设,推动集成电路、第五代移动通信、飞机发动机、新能源汽车、新材料等产业发展,实施重大短板装备专项工程,发展工业互联网平台,创建“中国制造2025”示范区。扩大和升级信息消费三年行动计划(2018-2020)2018-8工业和信息化部加大资金支持力度,支持信息消费前沿技术研发,拓展各类新型产品和融合应用。各地工业和信息化、发展改革主管部门要进一步落实力度。战略性新兴产业重点产品和服务指导目录2017-01发改委在电子核心产业中将集成电路、新型元器件列入战略性新兴产业重点产品目

47、录。2021 LeadL30来源:中国政府网,头豹研究院编辑整理中国半导体产业相关政策,2013-2020年(续)头豹洞察中国半导体行业发展前景政策端(2/2)自2013年始,中国相继发布多项半导体相关政策,明确中国半导体技术发展目标,推进半导体行业标准体系建设,促进集成电路行业与上下游产业链协同发展,努力实现集成电路产业跨越式发展2016年11月,中国国务院发布“十三五”国家战略性新兴产业发展规划。该政策加快推动了半导体传统产业转型升级,涌现了大批新技术、新业态、新模式,在半导体等领域技术不断取得重大突破。2020年8月,中国国务院发布的中国制造2025,着力提升集成电路设计水平,在封装产业

48、和测试的自主发展能力得到有效提升,形成关键制造装备供货能力。自2013年以来,国内政策明确半导体技术发展目标,推进半导体行业标准体系建设,促进集成电路行业与上下游产业链协同发展,努力实现集成电路产业跨越式发展。政策名称颁布日期颁布主体政策要点“十三五”国家战略性新兴产业发展规划2016-11国务院启动集成电路重大生产力布局规划工程,实施一批带动作用强的项目,推动产业能力实现快速提升。国家信息化发展战略纲要2016-07国务院以体系化思维弥补单点弱势,打造国家先进、安全可控的核心技术体系,带动集成电路、基础软件、核心元器件等薄弱环节实现根本性突破国家集成电路产业发展推进纲要2017-12工业和信

49、息化部明确集成电路产业发展四大任务。1.着力发展集成电路设计业。2.加速发展集成电路制造业。抓住技术变革的有利时机,突破投融资瓶颈。3.提升先进封装测试业发展水平。推动国内封装测试企业兼并重组,提高产业集中度。4.突破集成电路关键装备和材料。加强集成电路装备、材料与工艺结合,加快产业化进程,增强产业配套能力。中国制造20252015-06国务院突破大功率电力电子器件高温超导材料等关键元器件和材料制造及应用技术,形成产业化能力“战略性新兴产业重点产品和服务指导目录(国家发改委201316号)2013-02发改委将集成电路芯片设计及服务,以及主要集成电路芯片产品如数字电视芯片多媒体芯片功率控制电路

50、及半导体电力电子器件等列为战略性新兴产业重点产品目录.2021 LeadL31来源:头豹研究院编辑整理ASML公司股权架构图头豹洞察半导体行业发展前景企业端 ASML独特公司股权架构,已和美国为首国家企业与上下游企业形成庞大的利益共同体,将整个行业在统一方向下形成聚焦,从而让处在不同环节的企业在有序战略导向下,形成高度生态体系壁垒ASML独特规定:唯有注资ASML公司成为股东之一的企业,才能获得优先供货权。奇特的合作模式使得ASML获得大量资金,包括英特尔、三星、台积电均向ASML投入巨额资金并拥有相当可观股份,其中英特尔的投资金额十分惊人,高达25.13亿欧元。截至目前,ASML公司最大股东

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 研究报告 > 其他

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服