收藏 分销(赏)

电子设计自动化技术课件答案.doc

上传人:精**** 文档编号:3946380 上传时间:2024-07-24 格式:DOC 页数:28 大小:61.54KB
下载 相关 举报
电子设计自动化技术课件答案.doc_第1页
第1页 / 共28页
电子设计自动化技术课件答案.doc_第2页
第2页 / 共28页
电子设计自动化技术课件答案.doc_第3页
第3页 / 共28页
电子设计自动化技术课件答案.doc_第4页
第4页 / 共28页
电子设计自动化技术课件答案.doc_第5页
第5页 / 共28页
点击查看更多>>
资源描述

1、第一章11 EDA技术与ASIC设计和FPGA开发有什么关系? P34答:利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释.12与软件描述语言相比,VHDL有什么特点? P6答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能

2、被动地为其特定的硬件电路结构所利用。综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计.l3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配

3、的过程。有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。综合在电子设计自动化中的地位是什么? 答:是核心地位(见图13)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸

4、多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P710答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程.1-5 IP在EDA技术的应用和发展中的意义是什么? P1112答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。第二章2-1 叙述EDA的FPGA/CPLD设计流程。 P1316答:1.设计输入(原理图/HDL文本编辑);2。综合;3。适配;4.时序仿真与功能仿真;5.编程下载;6。硬件测试.22 IP是什么?IP与EDA技

5、术的关系是什么? P2426IP是什么? 答:IP是知识产权核或知识产权模块,用于ASIC或FPGA/CPLD中的预先设计好的电路功能模块。IP与EDA技术的关系是什么? 答:IP在EDA技术开发中具有十分重要的地位;与EDA技术的关系分有软IP、固IP、硬IP:软IP是用VHDL等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软IP通常是以硬件描述语言HDL源文件的形式出现。固IP是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。硬IP提供设计的最终阶段产品:掩模.2-3 叙述ASIC的设计方法。 P1819答:ASIC设计方法,按版图结构及制造方法

6、分有半定制(Semicustom)和全定制(Full-custom)两种实现方法。全定制方法是一种基于晶体管级的,手工设计版图的制造方法.半定制法是一种约束性设计方式,约束的目的是简化设计,缩短设计周期,降低设计成本,提高设计正确率。半定制法按逻辑实现的方式不同,可再分为门阵列法、标准单元法和可编程逻辑器件法.2-4 FPGA/CPLD在ASIC设计中有什么用途? P16,18答:FPGA/CPLD在ASIC设计中,属于可编程ASIC的逻辑器件;使设计效率大为提高,上市的时间大为缩短。25 简述在基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具,及其在整个流程中的作用。 P1923答

7、:基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具有:设计输入编辑器(作用:接受不同的设计输入表达方式,如原理图输入方式、状态图输入方式、波形输入方式以及HDL的文本输入方式。);HDL综合器(作用:HDL综合器根据工艺库和约束条件信息,将设计输入编辑器提供的信息转化为目标器件硬件结构细节的信息,并在数字电路设计技术、化简优化算法以及计算机软件等复杂结体进行优化处理);仿真器(作用:行为模型的表达、电子系统的建模、逻辑电路的验证及门级系统的测试);适配器(作用:完成目标系统在器件上的布局和布线);下载器(作用:把设计结果信息下载到对应的实际器件,实现硬件设计)。第三章3-1 OLMC

8、(输出逻辑宏单元)有何功能?说明GAL是怎样实现可编程组合电路与时序电路的. P3436OLMC有何功能? 答:OLMC单元设有多种组态,可配置成专用组合输出、专用输入、组合输出双向口、寄存器输出、寄存器输出双向口等.说明GAL是怎样实现可编程组合电路与时序电路的? 答:GAL(通用阵列逻辑器件)是通过对其中的OLMC(输出逻辑宏单元)的编程和三种模式配置(寄存器模式、复合模式、简单模式),实现组合电路与时序电路设计的.3-2 什么是基于乘积项的可编程逻辑结构? P3334,40答:GAL、CPLD之类都是基于乘积项的可编程结构;即包含有可编程与阵列和固定的或阵列的PAL(可编程阵列逻辑)器件

9、构成.33 什么是基于查找表的可编程逻辑结构? P4041答:FPGA(现场可编程门阵列)是基于查找表的可编程逻辑结构。34 FPGA系列器件中的LAB有何作用? P4345答:FPGA(Cyclone/Cyclone II)系列器件主要由逻辑阵列块LAB、嵌入式存储器块(EAB)、I/O单元、嵌入式硬件乘法器和PLL等模块构成;其中LAB(逻辑阵列块)由一系列相邻的LE(逻辑单元)构成的;FPGA可编程资源主要来自逻辑阵列块LAB.35 与传统的测试技术相比,边界扫描技术有何优点? P4750答:使用BST(边界扫描测试)规范测试,不必使用物理探针,可在器件正常工作时在系统捕获测量的功能数据

10、。克服传统的外探针测试法和“针床”夹具测试法来无法对IC内部节点无法测试的难题。3-6 解释编程与配置这两个概念. P58答:编程:基于电可擦除存储单元的EEPROM或Flash技术.CPLD一股使用此技术进行编程。CPLD被编程后改变了电可擦除存储单元中的信息,掉电后可保存。电可擦除编程工艺的优点是编程后信息不会因掉电而丢失,但编程次数有限,编程的速度不快。配置:基于SRAM查找表的编程单元。编程信息是保存在SRAM中的,SRAM在掉电后编程信息立即丢失,在下次上电后,还需要重新载入编程信息.大部分FPGA采用该种编程工艺。该类器件的编程一般称为配置。对于SRAM型FPGA来说,配置次数无限

11、,且速度快;在加电时可随时更改逻辑;下载信息的保密性也不如电可擦除的编程。37 请参阅相关资料,并回答问题:按本章给出的归类方式,将基于乘积项的可编程逻辑结构的PLD器件归类为CPLD;将基于查找表的可编程逻辑结构的PLD器什归类为FPGA,那么,APEX系列属于什么类型PLD器件? MAX II系列又属于什么类型的PLD器件?为什么? P5456答:APEX(Advanced Logic Element Matrix)系列属于FPGA类型PLD器件;编程信息存于SRAM中。MAX II系列属于CPLD类型的PLD器件;编程信息存于EEPROM中。第四章4-1:画出与下例实体描述对应的原理图符

12、号元件:ENTITY buf3s IS - 实体1:三态缓冲器PORT (input : IN STD_LOGIC ; 输入端enable : IN STD_LOGIC ; 使能端output : OUT STD_LOGIC ) ; - 输出端END buf3x ;ENTITY mux21 IS -实体2: 2选1多路选择器PORT (in0, in1, sel : IN STD_LOGIC;output : OUT STD_LOGIC);41.答案 42。 图3-30所示的是4选1多路选择器,试分别用IF_THEN语句和CASE语句的表达方式写出此电路的VHDL程序。选择控制的信号s1和s0

13、的数据类型为STD_LOGIC_VECTOR;当s1=0,s0=0;s1=0,s0=1;s1=1,s0=0和s1=1,s0=1分别执行y=a、y=b、y=c、y=d。4-2。答案LIBRARY IEEE;USE IEEE。STD_LOGIC_1164.ALL;ENTITY MUX41 ISPORT(s:IN STD_LOGIC_VECTOR(1 DOWNTO 0); 输入选择信号a,b,c,d:IN STD_LOGIC; -输入信号y:OUT STD_LOGIC);-输出端END ENTITY;ARCHITECTURE ART OF MUX41 ISBEGINPROCESS(s)BEGINIF

14、 (S=”00”) THEN y=a;ELSIF (S=”01”) TH EN y=b;ELSIF (S=10”) TH EN y=c;ELSIF (S=11”) TH EN y=d;ELSE y=NULL;END IF;EDN PROCESS;END ART;LIBRARY IEEE;USE IEEE。STD_LOGIC_1164.ALL;ENTITY MUX41 ISPORT(s:IN STD_LOGIC_VECTOR(1 DOWNTO 0); -输入选择信号a,b,c,d:IN STD_LOGIC; 输入信号y:OUT STD_LOGIC);-输出端END MUX41;ARCHITECT

15、URE ART OF MUX41 ISBEGINPROCESS(s)BEGINCASE s ISWHEN “00 = yNULL;END CASE;END PROCESS;END ART;43。 图331所示的是双2选1多路选择器构成的电路MUXK,对于其中MUX21A,当s=0和1时,分别有y=a和y=b。试在一个结构体中用两个进程来表达此电路,每个进程中用CASE语句描述一个2选1多路选择器MUX21A。4-3。答案LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MUX221 ISPORT(a1,a2,a3:IN STD_LOGIC_VECT

16、OR(1 DOWNTO 0); -输入信号s0,s1:IN STD_LOGIC;outy:OUT STD_LOGIC);-输出端END ENTITY;ARCHITECTURE ONE OF MUX221 ISSIGNAL tmp : STD_LOGIC;BEGINPR01:PROCESS(s0)BEGINIF s0=0” THEN tmp=a2;ELSE tmp=a3;END IF;END PROCESS;PR02:PROCESS(s1)BEGINIF s1=”0 THEN outy=a1;ELSE outy=tmp;END IF;END PROCESS;END ARCHITECTURE ON

17、E;END CASE;44.下图是一个含有上升沿触发的D触发器的时序电路,试写出此电路的VHDL设计文件。44。答案LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MULTI ISPORT(CL:IN STD_LOGIC; 输入选择信号CLK0:IN STD_LOGIC; -输入信号OUT1:OUT STD_LOGIC);-输出端END ENTITY;ARCHITECTURE ONE OF MULTI ISSIGNAL Q : STD_LOGIC;BEGINPR01: PROCESS(CLK0)BEGINIF CLK EVENT AND CLK=

18、1THEN Q=NOT(CL OR Q);ELSEEND IF;END PROCESS;PR02: PROCESS(CLK0)BEGINOUT1=Q;END PROCESS;END ARCHITECTURE ONE;END PROCESS;4-5.给出1位全减器的VHDL描述。要求:(1) 首先设计1位半减器,然后用例化语句将它们连接起来,图332中h_suber是半减器,diff是输出差,s_out是借位输出,sub_in是借位输入。(2) 以1位全减器为基本硬件,构成串行借位的8位减法器,要求用例化语句来完成此项设计(减法运算是 x y sun_in = diffr)45.答案底层文件1:

19、or2a.VHD实现或门操作LIBRARY IEEE;USE IEEE.STD_LOGIC_1164。ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY or2a ISPORT(a,b:IN STD_LOGIC;c:OUT STD_LOGIC);END ENTITY or2a;ARCHITECTURE one OF or2a ISBEGINc = a OR b;END ARCHITECTURE one;底层文件2:h_subber.VHD实现一位半减器LIBRARY IEEE;USE IEEE。STD_LOGIC_1164。ALL;USE IEEE.STD_L

20、OGIC_UNSIGNED。ALL;ENTITY h_subber ISPORT(x,y:IN STD_LOGIC;diff,s_out:OUT STD_LOGIC);END ENTITY h_subber;ARCHITECTURE ONE OF h_subber ISSIGNAL xyz: STD_LOGIC_VECTOR(1 DOWNTO 0);BEGINxyz = x y;PROCESS(xyz)BEGINCASE xyz ISWHEN ”00 = diff=0;s_out=0;WHEN ”01” = diff=1;s_out diff=1;s_out diff=0;s_out NULL

21、;END CASE;END PROCESS;END ARCHITECTURE ONE;顶层文件:f_subber。VHD实现一位全减器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY f_subber ISPORT(x,y,sub_in:IN STD_LOGIC;diffr,sub_out:OUT STD_LOGIC);END ENTITY f_subber;ARCHITECTURE ONE OF f_subber ISCOMPONENT h_subberPORT(x,y:IN STD

22、_LOGIC;diff,S_out:OUT STD_LOGIC);END COMPONENT;COMPONENT or2aPORT(a,b:IN STD_LOGIC;c:OUT STD_LOGIC);END COMPONENT;SIGNAL d,e,f: STD_LOGIC;BEGINu1: h_subber PORT MAP(x=x,y=y,diff=d,s_out=e);u2: h_subber PORT MAP(x=d,y=sub_in,diff=diffr,s_out=f);u3: or2a PORT MAP(a=f,b=e,c=sub_out);END ARCHITECTURE ON

23、E;END ARCHITECTURE ART;4-6。根据下图,写出顶层文件MX3256.VHD的VHDL设计文件。46.答案MAX3256顶层文件LIBRARY IEEE;USE IEEE。STD_LOGIC_1164.ALL;USE IEEE。STD_LOGIC_UNSIGNED.ALL;ENTITY MAX3256 ISPORT (INA,INB,INCK: IN STD_LOGIC;INC: IN STD_LOGIC;E,OUT:OUT STD_LOGIC);END ENTITY MAX3256;ARCHITECTURE ONE OF MAX3256 ISCOMPONENT LK35

24、调用LK35声明语句PORT(A1,A2:IN STD_LOGIC;CLK:IN STD_LOGIC;Q1,Q2:OUT STD_LOGIC);END COMPONENT;COMPONENT D -调用D触发器声明语句PORT(D,C:IN STD_LOGIC;CLK:IN STD_LOGIC;Q:OUT STD_LOGIC);END COMPONENT;COMPONENT MUX21调用二选一选择器声明语句PORT(B,A:IN STD_LOGIC;S:IN STD_LOGIC;C:OUT STD_LOGIC);END COMPONENT;SIGNAL AA,BB,CC,DD: STD_LO

25、GIC;BEGINu1: LK35 PORT MAP(A1=INA,A2=INB,CLK=INCK, Q1=AA,Q2=BB);u2: D PORT MAP(D=BB;CLK=INCK,C=INC,Q=CC);u3: LK35 PORT MAP (A1=BB,A2=CC,CLK=INCK, Q1=DD,Q2=OUT1);u4: MUX21 PORT MAP (B=AA,A=DD,S=BB,C=E);END ARCHITECTURE ONE;设计含有异步清零和计数使能的16位二进制加减可控计数器.47.答案:LIBRARY IEEE;USE IEEE。STD_LOGIC_1164。ALL;USE

26、 IEEE。STD_LOGIC_UNSIGNED.ALL;ENTITY CNT16 ISPORT(CLK,RST,EN:IN STD_LOGIC;CHOOSE:IN BIT;SETDATA:BUFFER INTEGER RANCE 65535 DOWNTO 0;COUT: BUFFER INTEGER RANCE 65535 DOWNTO 0);END CNT16;ARCHITECTURE ONE OF CNT16 ISBEGINPROCESS(CLK,RST,SDATA)VARIABLE QI:STD_LOGIC_VECTOR(65535 DOWNTO 0);BEGINIF RST=1 TH

27、EN 计数器异步复位QI:=(OTHERS=0);ELSIF SET=1 THEN计数器一步置位QI:=SETDATA;ELSIF CLKEVENT AND CLK=1 THEN -检测时钟上升沿IF EN=1 THEN 检测是否允许计数IF CHOOSE=1 THEN 选择加法计数QI:=QI+1; -计数器加一ELSE QI=QI-1; -计数器加一END IF;END IF;END IF;COUT=QI;-将计数值向端口输出END PROCESS;END ONE;第五章5-1 归纳利用Quartus II进行VHDL文本输入设计的流程:从文件输入一直到SignalTap II测试。P95

28、P115答:1 建立工作库文件夹和编辑设计文件;2 创建工程;3 编译前设置;4 全程编译;5 时序仿真;6 引脚锁定;7 配置文件下载;8 打开SignalTap II编辑窗口;9 调入SignalTap II的待测信号;10 SignalTap II参数设置;11 SignalTap II参数设置文件存盘;12 带有SignalTap II测试信息的编译下载;13 启动SignalTap II进行采样与分析;14 SignalTap II的其他设置和控制方法。5.65.75。85.95。105。125.135。14第六章61 什么是固有延时?什么是惯性延时?P150151答:固有延时(In

29、ertial Delay)也称为惯性延时,固有延时的主要物理机制是分布电容效应.6-2 是什么?在VHDL中,有什么用处?P152是什么? 答:在VHDL仿真和综合器中,默认的固有延时量(它在数学上是一个无穷小量),被称为延时。在VHDL中,有什么用处?答:在VHDL信号赋值中未给出固有延时情况下,VHDL仿真器和综合器将自动为系统中的信号赋值配置一足够小而又能满足逻辑排序的延时量;使并行语句和顺序语句中的并列赋值逻辑得以正确执行.64 说明信号和变量的功能特点,以及应用上的异同点。P128P129答:变量:变量是一个局部量,只能在进程和子程序中使用.变量不能将信息带出对它做出定义的当前结构。

30、变量的赋值是一种理想化的数据传输,是立即发生的,不存在任何延时行为.变量的主要作用是在进程中作为临时的数据存储单元。信号:信号是描述硬件系统的基本数据对象,其性质类似于连接线;可作为设计实体中并行语句模块间的信息交流通道。信号不但可以容纳当前值,也可以保持历史值;与触发器的记忆功能有很好的对应关系。6-5 在VHDL设计中,给时序电路清零(复位)有两种力方法,它们是什么?解:设Q定义成信号,一种方法:Q=“000000”; 其中“000000”反映出信号Q的位宽度。第二种方法:Q0);其中OTHERS=0不需要给出信号Q的位宽度,即可对Q清零.6-6 哪一种复位方法必须将复位信号放在敏感信号表

31、中?给出这两种电路的VHDL描述.解:边沿触发复位信号要将复位信号放在进程的敏感信号表中。(1)边沿触发复位信号.ARCHITECTURE bhv 0F DFF3 ISSIGNAL QQ:STD_LOGIC;BEGINPROCESS(RST)BEGINIF RSTEVENT AND RST=1 THENQQ=(Others=0);END IF;END PROCESS;Q1=QQ;END;(2)电平触发复位信号.ARCHITECTURE bhv 0F DFF3 ISSIGNAL QQ:STD_LOGIC;BEGINPROCESS(CLK)BEGINIF RST=1 THENQQ=(Others=

32、0);END IF;END PROCESS;Q1=QQ;END;6-7 什么是重载函数?重载算符有何用处?如何调用重载算符函数?答:(1)什么是重载函数? 根据操作对象变换处理功能。(2)重载算符有何用处? 用于两个不同类型的操作数据自动转换成同种数据类型,并进行运算处理。(3)如何调用重载算符函数?采用隐式方式调用,无需事先声明。6-8 判断下面三个程序中是否有错误,若有则指出错误所在,并给出完整程序。程序1:Signal A,EN : std_logic;Process(A, EN)Variable B: std_log ic;Beginif EN=l then B=A; end if;

33、-将“B=A改成“B:=Aend process;程序2:Architecture one of sample isvariable a,b,c:integer;beginc=a+b; -将“c=a+b”改成“c:=a+b”end;程序3:library ieee;use ieee。std_logic_1164.all;entity mux21 isPORT(a,b:in std_logic; sel:in std_loglc;c:out std_logle;); -将“;)”改成“)end sam2; 将“sam2”改成“entity mux21”architecture one of mu

34、x2l isbegin-增加“process(a,b,sel) beginif sel= 0 then c:=a; else c:=b; end if; -应改成“if sel= 0 then c=a; else c=b; end if;-增加“end process;”end two; -将“two”改成“architecture one”7-2 LPM_ROM、LPM_RAM、LPM_FIFO等模块与FPGA中嵌入的EAB、ESB、M4K有怎样的联系?答:ACEXlK系列为EAB;APEX20K系列为ESB;Cyclone系列为M4K第八章8-1仿照例81,将例84单进程用两个进程,即一个

35、时序进程,一个组合进程表达出来。-解:【例8-4】的改写如下:LIBRARY IEEE;USE IEEE。STD_LOGIC_1164.ALL;ENTITY MOORE1 ISPORT(DATAIN: IN STD_LOGIC_VECTOR(1 DOWNTO 0);CLK,RST: IN STD_LOGIC;Q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END MOORE1;ARCHITECTURE behav OF MOORE1 ISTYPE ST_TYPE IS (ST0,ST1,ST2,ST3,ST4);SIGNAL C_ST,N_ST: ST_TYPE;BEGI

36、NREG: PROCESS(CLK,RST)BEGINIF RST=1 THEN C_ST=ST0; Q=0000;ELSIF CLKEVENT AND CLK=1 THENC_ST=N_ST;END IF;END PROCESS REG;COM: PROCESS(C_ST,DATAIN)BEGINCASE C_ST ISWHEN ST0= IF DATAIN=”10” THEN N_ST=ST1;ELSE N_ST=ST0; END IF;Q=1001”;WHEN ST1= IF DATAIN=”11 THEN N_ST=ST2;ELSE N_ST=ST1 ;END IF;Q=”0101”;

37、WHEN ST2= IF DATAIN=01 THEN N_ST=ST3;ELSE N_ST IF DATAIN=”00” THEN N_ST=ST4;ELSE N_ST=ST2; END IF;Q=”0010;WHEN ST4=IF DATAIN=11” THEN N_ST=ST0;ELSE N_ST=ST3 ;END IF;Q N_ST=ST0;END CASE;END PROCESS COM;END behav;82为确保例85(2进程Mealy型状态机)的状态机输出信号没有毛刺,试用例84的方式构成一个单进程状态,使输出信号得到可靠锁存,在相同输入信号条件下,给出两程序的仿真波形.-解

38、:【例85】改写如下:LIBRARY IEEE;USE IEEE。STD_LOGIC_1164。ALL;ENTITY MEALY1 ISPORT(CLK,DATAIN,RESET: IN STD_LOGIC;Q:OUT STD_LOGIC_VECTOR(4 DOWNTO 0);END MEALY1;ARCHITECTURE behav OF MEALY1 ISTYPE states IS (st0,st1,st2,st3,st4);SIGNAL STX: states;BEGINPROCESS(CLK,RESET) 单一进程BEGINIF RESET=1 THEN STX IF DATAIN=

39、1 THEN STX=st1; END IF;IF DATAIN=1 THEN Q=”10000”;ELSE Q=01010” ; END IF;WHEN st1= IF DATAIN=0 THEN STX=st2; END IF;IF DATAIN=0 THEN Q=10111”;ELSE Q=”10100 ; END IF;WHEN st2= IF DATAIN=1 THEN STX=st3; END IF;IF DATAIN=1 THEN Q=10101”;ELSE Q=”10011” ; END IF;WHEN st3= IF DATAIN=0 THEN STX=st4; END IF

40、;IF DATAIN=0 THEN Q=”11011;ELSE Q=”01001”; END IF ;WHEN st4= IF DATAIN=1 THEN STX STX=st0; Q=00000”;END CASE;END IF;END PROCESS;END behav;图86控制ADC0809采样状态图 【例8-2】根据图8-6状态图,采用Moore型状态机,设计ADC0809采样控制器.LIBRARY IEEE;USE IEEE。STD_LOGIC_1164.ALL;ENTITY ADCINT ISPORT(D: IN STD_LOGIC_VECTOR(7 DOWNTO 0); -来自

41、0809转换好的8位数据CLK: IN STD_LOGIC; 状态机工作时钟EOC: IN STD_LOGIC; -转换状态指示,低电平表示正在转换ALE:OUT STD_LOGIC; -8个模拟信号通道地址锁存信号START:OUT STD_LOGIC; 转换开始信号OE:OUT STD_LOGIC; -数据输出三态控制信号ADDA:OUT STD_LOGIC; 信号通道最低位控制信号LOCK0:OUT STD_LOGIC; -观察数据锁存时钟Q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); -8位数据输出END ADCINT;ARCHITECTURE behav O

42、F ADCINT ISTYPE states IS(st0,st1,St2,st3,st4); -定义各状态子类型SIGNAL current_state,next_state: states:=st0;SIGNAL REGL: STD_LOGIC_VECTOR(7 DOWNTO 0);SIGNAL LOCK: STD_LOGIC;-转换后数据输出锁存时钟信号BEGINADDA=1;-当ADDA=0,模拟信号进入通道IN0;当ADDA=1,则进入通道INIQ=REGL;LOCK0=LOCK;COM: PROCESS(current_state,EOC) BEGIN -规定各状态转换方式CASE

43、 current_state ISWHEN st0= ALE=0;START=0;LOCK=0;OE ALE=1;START=1;LOCK=0;OE ALE=0;START=0;LOCK=0; OE=0;IF(EOC=1) THEN next_state=st3;-EOC=1表明转换结束ELSE next_state=st2; END IF; 转换未结束,继续等待WHEN st3= ALE=0;START=0;LOCK=0;OE=1;next_state ALE=0;START=0;LOCK=1;OE=1;next_state=st0;WHEN OTHERS=next_state=st0;END CASE;END PROCESS COM;REG:PROCESS(CLK)BEGINIF(CLKEVENT AND CLK=1) THEN current_state=next_state; END IF;END PROCESS REG; -由信号current_state将当前状态值带出此进程:REGLATCH1: PROCESS(LOCK) -此进程中,在LOCK的上升沿,将转换好的数据

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 包罗万象 > 大杂烩

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服