收藏 分销(赏)

EDA复习要点5月32.doc

上传人:丰**** 文档编号:3060104 上传时间:2024-06-14 格式:DOC 页数:11 大小:246.50KB
下载 相关 举报
EDA复习要点5月32.doc_第1页
第1页 / 共11页
EDA复习要点5月32.doc_第2页
第2页 / 共11页
EDA复习要点5月32.doc_第3页
第3页 / 共11页
EDA复习要点5月32.doc_第4页
第4页 / 共11页
EDA复习要点5月32.doc_第5页
第5页 / 共11页
点击查看更多>>
资源描述

1、主要知识点1、 从执行方式看VHDL的描述语句包括那些描述语句?用VHDL语言进行设计时,按描述语句的执行顺序进行分类,可将VHDL语句分为顺序执行语句(Sequential)和并行执行语句(Parallel)。2、 目前流行的硬件描述语言有那些?常用的硬件描述语言有ABEL-HDL AHDL.VHDL和Verilog-HDL.而VHDL和Verilog-HDL是当前最流行的并成为IEEE标准的硬件描述语言。3、 MAX+PLUS2中各种文件的扩展名有哪些?*.vhd *.sym *.gdf *.scf 4、 基于MAX+PLUS2的设计流程设计输入、编译处理、验证(包括功能仿真、时序仿真、和

2、定时分析)和器件编程5、 目前较流行的EDA设计软件有那些?ALTERA公司: MAX+PLUS II QUARTUS II(全新的EDA软件,正在逐步替代 MAX+PLUS)LATTICE莱迪思公司: isp EXPERT SYSTEM isp DesignExpert SYSTEMXILINX西林公司: FOUNDATION ISE(全新的EDA软件,正在逐步替代FOUNDATION)6、 可编程逻辑器件的分类?按照变成工艺分哪些类?SPLD 简单可编程逻辑器件CPLD 复杂可编程逻辑器件FPGA 现场可编程门阵列ISP 在系统(线)可编程逻辑器件按编程工艺分为:熔丝开关(一次可编程,要求

3、大电流)可编程低阻电路元件(多次编程,要求中电压)EPROM型(紫外线擦除电可编程逻辑器件)E PROM型(电可擦写编程器件)基于SRAM的编程元件7、 VHDL程序设计中常用的库有那些?哪些库是显式(默认打开的)的,哪些是隐式的?P159VHDL程序设计的常用库:IEEE库、STD库、WORK库、VITAL库、用户定义库。显示库:IEEE库 用户定义库 VITAL库隐式库:、STD库、WORK库 8、 程序包由那两部分组成?分别有什么作用? P161程序包由两部分组成:程序包首和程序包体,程序包首为程序包定义接口,声明包中的类型、元件、函数和子程序。程序包体规定程序包的实际功能,存放说明中的

4、函数和子程序。9、 常用的预定义程序包有哪些?如何调用?P163常用的预定义的程序包:STD_LOGIC_1164程序包、STD_LOGIC_ARITH程序包、STD_LOGIC_UNSIGNED和STD_LOGIC_SIGNED程序包、STANDARD和TEXTIO程序包。10、 目前国际上较大的EDA器件制造公司有那些?ALTERA公司、LATTICE莱迪思公司、XILINX西林公司11、 VHDL常用的预定义数据类型有哪几种,分别在哪些程序包中?如何调用?答:布尔(BOOLEAN)数据类型,位(BIT)数据类型,位矢量(BIT_VECTOR)数据类型 ,字符(CHARACTER)数据类型

5、 。12、 数据类型的转换有哪几种方法?P114 函数转换法、类型标记转换法和常数转换法。13、 可以构成标识符的字符有? 有效的字符:(1)包括26个大小写英文字母,数字09以及下划线“_”。 (2)任何标识符必须以英文字母开头。(3)必须是单一下划线“_”,且其前后都必须有英文字母或数字。(3)标识符中的英文字母不分大小写。(4)允许包含图形符号(如回车符、换行符等),也允许包含空格符。(5)VHDL的保留字不能用于作为标识符使用。14、 可编程器件(PLD)分为哪两类?答:根据编程特性分为一次编程和重复编程两类15、 标准逻辑位数据类型常用的数值有哪几种?U-未初始化的,X-强未知的,0

6、-强0,1-强1,Z-高阻态,W-弱未知的,L-弱0,H-弱1,-忽略。16、 完整的条件语句将产生什么电路,不完整的条件语句将产生什么电路?完整的条件语句将产生组合电路,不完整的条件语句将产生时序电路17、 信号和变量有什么区别?P121(1)信号赋值至少有延时,而变量赋值没有延时。(2)信号除当前值外有许多相关的信息,而变量只有当前值。(3)进程对信号敏感而对变量不敏感。(4)信号可以是多个进程的全局 信号;而变 量 只在 定义它 们 的顺序域 可见(共享 变 量 除外)。(5)信号 是 硬 件 中 连 线 的 抽 象 描 述,它们的功能是保存变化的数据和连接子元件,信号在元件的端口连接元

7、件。变量在硬件中没有类似的对应关系,它们用于硬件特性的高层次建模所需要的计算中。(6)信号赋值和变量赋值分别使用不同的赋值符号“ 连接端口名,.);29、 试比较图形输入法和文本输入法有何优缺点?30、 结构体的语言格式与作用。ARCHITECTURE 结构体名OF 实体名 IS (说明语句) 用来说明和定义数据对象,类型等,可省略 BEGIN (功能描述语句) 用来描述内部电路功能的,不可省略 END ARCHITECTURE 结构体名;结构体用来描述设计实体的结构或行为,即描述一个实体的功能,把设计实体的输入和输出之间的联系建立起来。31、 写出PROCESS语句结构的一般表达格式? PR

8、OCESS语句格式 PROCESS语句的表达格式如下: 进程标号:PROCESS(敏感信号参数表)IS 进程说明部分 BEGIN 顺序描述语句 END PROCESS进程标号; 32、 进程语句的设计(或使用)要点?P140(1) 虽然同一结构体中的进程之间是并行运行的,但同一进程中的逻辑描述语句则是顺序运行的,因而在进程中只能设放置顺序语句。(2) 进程的激活必须由敏感信号表中定义的任一敏感信号的变化来启动,否则必须有一显式的WAIT语句来激活。(3) 结构体中多个进程之所以能并行同步运行,一个很重要的原因是进程之间的通信是通过传递信号和共享变量值来实现的。(4) 进程是重要的建模工具。进程

9、结构不但为综合器所支持,而且进程的建模方式将直接影响仿真和综合结果。33、 并行信号赋值语句有哪几种?其语句格式为何?1) 简单信号赋值语句 赋值目标=表达式;2) 条件信号赋值语句 赋值目标=表达式1 WHEN 赋值条件1 ELSE 表达式2 WHEN赋值条件2 ELSE . 表达式n;3)选择信号赋值语句的语句格式如下: WITH 选择表达式SELECT 赋值目标信号 语句A;WHEN 值2= 语句B;.WHEN OTHERS= 语句C;END CASE注意事项:(1)WHEN条件句中的选择值或标识符所代表的值必须在表达式的取值范围内。2)除非所有条件句中的选择值能完整覆盖CASE语句中表

10、达式的取值,否则最后一个条件句中的选择必须用关键词OTHERS表示以上已列的所有条件句中未能列出的其它可能的取值。(3)CASE语句中的选择值只能出现一次,不允许有相同选择值的条件语句出现。(4)CASE语句执行中必须选中,且只能选中所列条件语句中的一条。43、 试着比较IF和CASE语句的差异?P127与IF语句相比,CASE语句组的程序可读性比较好,这是因为它把条件中所有可能出现的情全部列出来了,可执行条件比较清晰。而且CASE程序的执行过程不像IF语句中那样有一个逐项条件条件顺序比较的过程。CASE语句中条件句的次序是不重要的,它的执行过程更接近于并行方式。但是在一般情况下,经过综合后,

11、对相同的逻辑功能,CASE语句比IF语句的描述耗用更多的硬件资源,而且有的逻辑功能CASE语句无法描述,只能使用IF语句来描述.44、 FOR循环语句的一般形式?P127FOR循环语句的一般形式为:循环标号: FOR 循环变量 IN 循环次数范围 LOOP 顺序处理语句END LOOP循环标号;45、 VHDL数据对象有哪几种?P101在VHDL中,数据对象有三种(1)常量(CONSTANT)(2)变量(VARIABLE)(3)信号(SIGNAL)。46、 变量和信号的区别?P105同18题47、 赋值语句分哪些类,分别写出一句赋值语句。赋值语句分为信号赋值语句和变量赋值语句。变量赋值语句和信

12、号赋值语句的语法格式如下: 变量赋值目标 :=赋值源; 信号赋值目标 =赋值源;48、 实现时序电路和逻辑组合电路分别用什么语句实现,分别写出他们的一般表式。完整的条件语句将产生组合电路,不完整的条件语句将产生时序电路完整的条件语句格式:49、 写出实体、结构体的一般语句结构并说明其作用。50、 简述VHDL中顺序语句有哪些?1、赋值语句2、转向控制语句3.WAIT语句4、子程序调用语句5、返回语句6、 NULL语句7.其他语句51、 简述VHDL中并行语句有哪些?1、进程语句2、并行信号赋值语句3、块语句结构(BLOCK)4、并行过程调用语句5、元件例化 语句6 、生成语句52、 试写出三种

13、IF语句的语句结构。IF语句是一种条件语句,它根据语句中所设置的一种或多种条件,有选择地执行指定的顺序语句,常见的IF语句有以下种形式。 (1) IF 条件 THEN 语句 END IF; (2) IF 条件 THEN 语句 ELSE 语句 END IF;(3) IF 条件THEN 语句 ELSIF 条件THEN 语句 ELSE 语句 END IF;53 转向控制语句有哪几种? P123转向控制语句共有五种:(1)IF语句(2)CASE语句(3)LOOP语句(4) next语句(5) exit语句54 什么是重载操作符?常用的重载操作符定义在那个程序包中?为了方便各种不同数据类型间的运算,VH

14、DL允许用户对原有的基本操作符重新定义,赋予新的含义和功能,从而建立一种新的操作符,这就是重载操作符。常用的重载操作符程序包:STD_LOGIC_UNSIGNED、STD_LOGIC_ARITH、STD_LOGIC_SIGNED55 什么是重载函数,什么是重载过程同样名称的函数可以用不同的数据类型作为此函数的参数定义多次,以此定义的函数称为重载函数56 VHDL设计的有限状态机从信号输出方式上分为哪两类?主要区别是什么?有限状态机从信号输出方式上分为Mealy(米立)型和Moore(摩尔)型两种状态机。?57 一般有限状态机的的组成有哪几部分?一般的状态机通常包含说明部分、时序进程、组合进程、

15、辅助进程等几个部分。58 VHDL的描述风格有哪几种?行为描述、数据流描述和结构描述第四章习题答案2 VHDL的基本结构是什么?各部分的功能分别是什么?答:参考课本77页,最下面一段。4、写出3输入与非门的实体描述。ENTITY nand_3 IS PORT (a , b, c: IN STD_LOGIC); q: OUT STD_LOGIC );END nand_3;5、例4-1是2选1的多路选择器的VHDL描述,在结构体的描述中使用了“WHEN-ELSE”语句,但也可以用其他语句来进行描述,试描述之。用IF语句描述:ENTITY mux21aISPORT(a,b:IN BIT; s:INB

16、IT; q:OUT BIT);END mux21a;ARCHITECTURE one OF mux21a ISBEGINPROCESS (a,b,s)BEGINIF s=0 THENq=a; ELSE q= b;END IF;END PROCESS;END one;6、试写出4选1多路选择器的VHDL描述。选择控制信号为s1和s0,输入信号为a,b,c,d,输出信号为y。也可以参考P125页,例5-9那样 使用IF语句LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux4_1 ISPORT(a,b,c,d,s0,s1:IN STD_LOGIC;

17、 y:OUT STD_LOGIC);END mux4_1;ARCHITECTURE behave OF mux4_1 ISSIGNAL sel:STD_LOGIC_VECTOR(1 DOWNTO 0);BEGIN sel y y y ynull;END CASE;END PROCESS;END behave;7 试给出1位全减器的VHDL描述,要求首先设计1位半减器,然后用例化语句将它们连接起来。设x为被减数,y为减数,sub_in是借位输入,diff是输出差,sub_out是借位输出。-半减器描述LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY

18、h_sub ISPORT(a,b:IN STD_LOGIC; co,so:OUT STD_LOGIC);END ENTITY h_sub ;ARCHITECTURE fh1 OF h_sub ISBEGINso=a XOR b; co=NOT a AND b; END ARCHITECTURE fh1;-或门描述LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY or2a ISPORT(a,b:IN STD_LOGIC; c:OUT STD_LOGIC);END ENTITY or2a;ARCHITECTURE one OF or2a ISBEGIN

19、c=a OR b; END ARCHITECTURE one;-全减器描述LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY f_sub1 ISPORT(x,y,sub_in:IN STD_LOGIC;sub_out,diff:OUT STD_LOGIC);END ENTITY f_sub1 ;ARCHITECTURE strl OF f_sub1 ISCOMPONENT h_sub PORT(a,b:IN STD_LOGIC; co,so:OUT STD_LOGIC);END COMP

20、ONENT;COMPONENT or2aPORT(a,b:IN STD_LOGIC; c:OUT STD_LOGIC);END COMPONENT;SIGNAL d,e,f:STD_LOGIC;BEGINu1:h_sub PORT MAP(x,y,d,e);u2:h_sub PORT MAP(e,sub_in,f,diff);u3:or2a PORT MAP(d,f,sub_out); END ARCHITECTURE strl ;第五章5-1.试说明实体端口模式BUFFER和INOUT的不同之处?答: BUFFER端口:缓冲模式,具有读功能的输出模式,即信号输出到实体外部,但同时也在内部反馈

21、使用,不允许作为双向端口使用。而INOUT端口:双向模式,即信号的流通是双向的,既可以对此端口赋值,也可以通过此端口读入数据。5-2.VHDL的数据对象有哪几种?它们之间有什么不同?答:VHDL的数据对象有三种:信号、变量、常量。 它们之间的的区别如下:信号赋值至少有延时,而变量和常量没有;信号除当前值外,有许多相关信息,变量只有当前值,常量的值在设计实体中始终不变;进程对信号敏感而对变量及常量不敏感;信号可以是多个进程的全局信号,变量只在定义它们的顺序域可见,而常量的使用范围取决于它被定义的位置;信号是硬件连线的抽象描述信号赋值,赋值符号 = 而变量和常量的赋值符号 :=。5-3.说明下列各

22、定义的意义: 答SIGNAL a , b , c : BIT : =0;- - 定义3个位数据类型的信号a、b、c,它们取值为0;CONSTANT TIME1 , TIME2 : TIME : 20ns ;- -定义2个时间数据类型的常量TIME1、TIME2,它们值为20ns;VARIABLE x , y , z : STD_LOGIC :=x;- - 定义3个标准逻辑位 STD_LOGIC数据类型的变量x、y、z,它们的值是强未知的。 5-4.什么是重载函数?重载运算符有何用处?如何调用重载运算符函数?答:为了方便各种不同数据类型间的运算,VHDL允许用户对原有的基本操作符重新定义,赋予新

23、的含义和功能,从而建立一种新的操作符,这就是重载操作符,定义这种操作符的函数成为重载函数。重载运算符的作用是为了方便各种不同的数据类型间的运算。要调用重载运算符函数,先要在程序包中进行函数体的定义,调用的格式如下 : x =函数名(参数1,参数2,) 参数个数和类型与所定义的函数要保持一致。5-5.数据类型BIT INTEGER BOOLEAN分别定义在哪个库中?哪些库和程序包总是可见的?.答:数据类型BIT INTEGER BOOLEAN均定义在STD库中。IEEE库和程序包STD_LOGIC.1164 、STD_LOGIC_UNSIGNED、STD_LOGIC_SIGNED、STD_LOG

24、IC_ARITH等总是可见的。 5-6.函数和过程有什么区别?答:子程序有两种类型,即过程(PROCEDURE)和函数(FUNCTION)。它们的区别在于:过程的调用可以通过其界面获得多个返回值,而函数只能返回一个值;在函数入口中,所有参数都是输入参数,而过程有输入参数、输出参数和双向参数;过程一般被看作一种语句结构,而函数通常是表达式的一部分;过程可以单独存在,而函数通常作为语句的一部分调用。 5-7.若在进程中加入WAIT语句,应注意哪几个方面的问题?答:应注意以下问题:已列出敏感信号的进程中不能使用任何形式的WAIT语句;一般情况下,只有WAIT UNTIL格式的等待语句可以被综合器所接

25、受,其余语句格式只能在VHDL仿真器中使用;在使用WAIT ON语句的进程中,敏感信号量应写在进程中的WAIT ON语句后面;在不使用WAIT ON语句的进程中,敏感信号量应在开头的关键词PROCESS后面的敏感信号表中列出。5-8.哪些情况下需用到程序包STD_LOGIC_UNSIGNED?试举一例。答:调用数据类型变换函数或重载运算符函数时;定义UNSIGNED类型的数据时。举例如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; IF temp=11111111THEN temp:=

26、 00000000; ELSE temp:=temp+16;END IF;5-9.为什么说一条并行赋值语句可以等效为一个进程?如果是这样的话,怎样实现敏感信号的检测?答:因为信号赋值语句的共同点是赋值目标必须都是信号,所有赋值语句与其它并行语句一样,在结构体内的执行是同时发生的,与它们的书写顺序没有关系,所以每一信号赋值语句都相当于一条缩写的进程语句。由于这条语句的所有输入信号都被隐性地列入此缩写进程的敏感信号表中,故任何信号的变化都将相关并行语句的赋值操作,这样就实现了敏感信号的检测。 5-10.比较CASE语句和WITH_SELECT语句,叙述它们的异同点?答:相同点:CASE语句中各子句

27、的条件不能有重叠,必须包容所有的条件;WITH_SECLECT语句也不允许选择值有重叠现象,也不允许选择值涵盖不全的情况。另外,两者对子句各选择值的测试都具有同步性,都依赖于敏感信号的变化。不同点:CASE语句只能在进程中使用,至少包含一个条件语句,可以有多个赋值目标;WITH_SECLECT语句根据满足的条件,对信号进行赋值,其赋值目标只有一个,且必须是信号。5-11.将以下程序段转换为WHEN_ELSE语句: PROCESS (a , b ,c ,d) BEGIN IF a=0AND b=1THEN NEXT1 =1101; ELSEIF a=0THEN NEXT1 =d; ELSEIF

28、b=1THEN NEXT1 =c; ELSE NEXT1 =1011; END IF; END PROCESS;原程序转换如下: ARCHITECTURE one OF mux IS BEGIN NEXT1 =1101WHEN a=0AND b=1ELSE d WHEN a=0ELSE c WHEN b=1ELSE 1011; END one; END PROCESS;5-12试给出一位全减器的算法描述、数据流描述、结构描述和混合描述。行为(算法)描述 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.AL

29、L;ENTITY f_sub ISPORT(x,y,sub_in:IN STD_LOGIC;sub_out,diff:OUT STD_LOGIC);END f_sub ;ARCHITECTURE bhv OF f_sub ISSIGNAL tmp:STD_LOGIC_VECTOR(2 DOWNTO 0);BEGIN tmp diff=0;sub_out diff=1;sub_out diff=1;sub_out diff=0;sub_out diff=1;sub_out diff=0;sub_out diff=0;sub_out diff=1;sub_out NULL; END CASE; E

30、ND PROCESS;END bhv ;数据流描述LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY f_sub ISPORT(x,y,sub_in:IN STD_LOGIC;sub_out,diff:OUT STD_LOGIC);END f_sub ;ARCHITECTURE rtl OF f_sub ISBEGIN diff=x XOR y XOR sub_in; sub_out=(NOT x AND y )OR (x XNOR y) AND sub_in);END rtl ; 结构

31、描述:LIBRARY IEEE; - - 半减器USE IEEE.STD_LOGIC_1164.ALL;ENTITY h_sub ISPORT(a,b:IN STD_LOGIC; co,so:OUT STD_LOGIC);END h_sub ;ARCHITECTURE fh1 OF h_sub ISBEGIN so=a XOR b; co=NOT a AND b; END fh1; LIBRARY IEEE; - -或门描述USE IEEE.STD_LOGIC_1164.ALL;ENTITY or2a ISPORT(a,b:IN STD_LOGIC; c:OUT STD_LOGIC);END

32、or2a;ARCHITECTURE one OF or2a ISBEGINc=a OR b; END one; LIBRARY IEEE; - -全减器描述USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY f_sub1 IS PORT(x,y,sub_in:IN STD_LOGIC; sub_out,diff:OUT STD_LOGIC);END f_sub1 ;ARCHITECTURE strl OF f_sub1 IS COMPONENT h_sub PORT(a,b:IN STD_LOGIC; co,so

33、:OUT STD_LOGIC); END COMPONENT; COMPONENT or2aPORT(a,b:IN STD_LOGIC; c:OUT STD_LOGIC); END COMPONENT; SIGNAL d,e,f:STD_LOGIC;BEGIN u1:h_sub PORT MAP(x,y,d,e); u2:h_sub PORT MAP(e,sub_in,f,diff); u3:or2a PORT MAP(d,f,sub_out); END strl ; 5-13用VHDL描述下列器件的功能:(1)十进制BCD码编码器,输出使能为低电平有效。library ieee;use ie

34、ee.std_logic_1164.all;entity bin_bcd isport(bin : in integer range 0 to 20; ena : in std_logic; BCD_out : out std_logic_vector(7 downto 0);end;architecture a of bin_bcd isbeginBinary_BCD : BlockBEGINBCD_out =00000000 WHEN BIN = 0 ELSE00000001 WHEN BIN = 1 ELSE00000010 WHEN BIN = 2 ELSE00000011 WHEN BIN = 3 ELSE00000100 WHEN BIN = 4 ELSE00000101 WHEN BIN = 5 ELSE00000110 WHEN BIN = 6 ELSE00000111 WHEN BIN = 7 ELSE00001000 WHEN BIN = 8 ELSE00001001 WHEN BIN = 9 ELSE00010000 WHEN BIN = 10 ELSE00010001 WHEN BIN = 11 ELSE00010010 WHEN BIN = 12 ELSE00010011 WHEN BIN = 13 ELSE00010100 WHEN BIN = 14

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 教育专区 > 其他

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服