收藏 分销(赏)

IC专业课程设计.doc

上传人:快乐****生活 文档编号:2727215 上传时间:2024-06-05 格式:DOC 页数:30 大小:285.04KB
下载 相关 举报
IC专业课程设计.doc_第1页
第1页 / 共30页
IC专业课程设计.doc_第2页
第2页 / 共30页
IC专业课程设计.doc_第3页
第3页 / 共30页
IC专业课程设计.doc_第4页
第4页 / 共30页
IC专业课程设计.doc_第5页
第5页 / 共30页
点击查看更多>>
资源描述

1、38译码器设计和仿真班 级:08级电子一班学 号:F0855114姓 名:刘冰指导老师:张明设计时间:6月26日6月30日目 录1、课程设计目标.32、课程设计方案.43、设计结果和分析.64、心得体会.115、参考文件.1238译码器设计和仿真1课程设计目标1.1目标 伴随科学技术日益快速发展,数字系统已经深入到生活各个方面。它含有技术效果好,经济效益高,技术优异,造价较低,可靠性高,维修方便等很多优点。使我们愈加数,熟练掌握数字系统设计。对所学专业知识有能力愈加好应用在实践方面。EDA技术及应用是学习理论课程以后实践教学步骤。目标是经过处理比较简单实际问题巩固和加深在EDA技术及应用课程中

2、所学理论知识和试验技能。训练我们综合利用学过电子技术基础知识,在老师指导下完成查找资料,选择、论证方案,设计电路,安装调试,分析结果,撰写汇报等工作。使我们初步掌握模拟电子电路设计通常方法步骤,经过理论联络实际提升和培养我们分析、处理实际问题能力和创新能力,为后续课程学习、毕业设计和毕业后工作打下一定基础。1.2内容 设计38译码器,并在maxplus软件平台上进行编译和仿真。图所表示: 图1A:三位输入端;EN:输入使能端;Y:八位输出端。2 设计方案论证2.1方案选择 译码器是一个含有“翻译”功效逻辑电路,这种电路能将输入二进制代码多种状态,根据其原意翻译成对应输出信号。有部分译码器设有一

3、个和多个使能控制输入端,又成为片选端,用来控制许可译码或严禁译码。 38译码器原理图,图所表示: 图22.2软件选择Max+plusII(或写成Maxplus2,或MP2) 是Altera企业推出第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,关键用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII设计者不需精通器件内部复杂结构。设计者能够用自己熟悉设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需格式。其设计速度很快。对于通常几千门电路设计,使用MAX+PLUSII,从设计输入到器件编程完成,用户

4、拿到设计好逻辑电路,大约只需几小时。设计处理通常在数分钟内内完成。尤其是在原理图输入等方面,Maxplus2被公认为是最易使用,人机界面最友善PLD开发软件,尤其适合初学者使用。MAX+PLUSII软件是一个功效强大,轻易使用软件包,它能够以图形方法、文字输入方法(AHDL、VHDL和VERILOG)、和波形方法输入设计文件,能够编译并形成多种能够下装到EPROM和多种ALTERA器件文件,还能够进行仿真以检验设计正确性,下面举例说明该软件使用。3.设计结果和分析3 8译码器源代码 library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity trans38

5、is port(A:in std_logic_vector(2 downto 0);EN:in std_logic; Y:out std_logic_vector(7 downto 0) );end trans38;architecture dec_behave of trans38 is signal sel:std_logic_vector(3 downto 0);begin sel=A&EN; with sel select Y= 00000001 when 0001, 00000010 when 0011, 00000100 when 0101, 00001000 when 0111,

6、 00010000 when 1001, 00100000 when 1011, 01000000 when 1101, 10000000 when 1111, XXXXXXXX when others;end dec_behave;library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity trans38 is port( A:in std_logic_vector(2 downto 0); EN:in std_logic; Y:out std_logic_vector(7 downto 0) );end trans38;architecture dec_

7、behave of trans38 is signal sel:std_logic_vector(3 downto 0);begin sel=A&EN; with sel select Y”键将需要观察信号选到右栏中,然后点击OK键即可。仿真结果分析图所表示: 图64设计体会 回顾起此次课程设计,至今我仍感慨颇多,从理论到实践,在整整一个星期日子里,我学到很多很多东西,不仅巩固了以前所学过知识,而且学到了很多在书本上所没有学到过内容。经过这次课程设计使我知道了理论和实际相结合是很关键,只有理论知识是远远不够,只有把所学理论知识和实践相结合起来,从理论中得出结论,才是真正知识,才能提升自己实际动

8、手能力和独立思索能力。在设计过程碰到了多种多样问题,同时在设计过程中发觉了自己不足之处,对以前所学过知识了解得不够深刻,掌握得不够牢靠,经过这次课程设计,把以前所学过知识重新温故,巩固了所学知识。经过此次课程设计,是我愈加扎实掌握了IC方面知识,在设计过程中即使碰到了部分问题,但经过一次又一次思索,一遍又一遍检验,最终找出了原因所在,也暴露出前期我在这方面知识欠缺和经验不足。实践出真知,经过亲自动手制作,收我们知识不再是纸上谈兵。在以后发展和实践过程中,一定要不懈努力,不能碰到问题就想到退缩,一定要不厌其烦发觉问题所在,然后一一进行处理。5参考文件1 雷海卫,刘俊.FPGA中软FIFO设计和实

9、现J.微计算机信息,,15(1):59-732李传琦.电力电子技术计算机仿真试验M.北京:电子工业出版社,.23雷海卫,刘俊.FPGA中软FIFO设计和实现J.微计算机信息,,15(1):59-734甘历 编著 ,VHDL应用和开发实践 M ,科学出版社,出版5刘志杨,郭继昌,关欣,黄彩彩.利用FPGA实现同时FIFO设置方法J.电子测量,,25(1):25-466 阎石. 数字电子技术基础M.北京:高等教育出版社,.7:466499 (各级标题四号宋体加粗,正文文字小四号宋体,程序五号times new roman)设计题目1课程设计目标 (1.1目标1.2内容)2设计方案论证各部分分二级标

10、题2.1 2.2.。叙述3设计结果和分析4设计体会设计体会内容。总结设计电路特点和方案优缺点,指出课题关键及实用价值,提出改善意见和展望5参考文件参考文件要列出5篇以上,参考书3本及以上、参考文件2篇及以上,要求近五年之内,格式以下:1 谢宋和, 甘 勇. 单片机模糊控制系统设计和应用实例M. 北京: 电子工业出版社, 1999.5:20-25(参考书或专著格式为:著者. 书名M. 版本(第1版不注). 出版地:出版者, 出版年月:引文所在页码) 3卜小明, 龙全求. 一个薄板弯曲问题四边形位移单元J. 力学学报, 1991,23(1):53-60(参考期刊杂志格式为: 作者. 论文题目J. 期刊名, 出版年, 卷号(期号): 页码)(期刊名前不写出版地)注:M表示参考是书籍;J表示参考是学术期刊论文

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 学术论文 > 其他

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服