收藏 分销(赏)

毕业设计方案论文基于FPGA的交通灯设计.doc

上传人:a199****6536 文档编号:2373665 上传时间:2024-05-29 格式:DOC 页数:39 大小:1.47MB
下载 相关 举报
毕业设计方案论文基于FPGA的交通灯设计.doc_第1页
第1页 / 共39页
毕业设计方案论文基于FPGA的交通灯设计.doc_第2页
第2页 / 共39页
毕业设计方案论文基于FPGA的交通灯设计.doc_第3页
第3页 / 共39页
毕业设计方案论文基于FPGA的交通灯设计.doc_第4页
第4页 / 共39页
毕业设计方案论文基于FPGA的交通灯设计.doc_第5页
第5页 / 共39页
点击查看更多>>
资源描述

1、摘要交通信号批示灯是都市中交通指挥疏导中不可缺少智能工具。此前用到大多数交通灯控制系统都是采用单片机或者PLC进行设计开发。本文将采用VHDL硬件描述语言来阐述各模块代码,并在Quartus II开发环境下进行编译,在硬件板子上进行调试和演示。在计算机上运营成功并生产生成顶层文献后下载在FPGA器件EP2C5T144开发板子上进行验证。通过调试成果显示,本文设计完全可以满足当前交通指挥需求,涉及时间提示显示、有关状态控制和主、支干道红、黄、绿灯交替显示功能。本文在交通灯控制基本上,对硬件描述语言以及其设计流程做了简朴简介。EDA工具是一种十分重要VHDL语言开发工具,它是一款可以对设计过程中任

2、何一种环节或者阶段进行计算机模仿强大工具,因而,该工具可以保证精确设计,减少设计周期,大大减少成本。基于FPGA交通灯控制器具备较高可靠性、擦写迅速、高效运算能力、故障少、设计简朴、质量轻以及体积不大等优势。本次设计将采用EP2C5T144最小系统开发板,由于它体积不大,可以轻松嵌入到外围电路中,完全可以迅速进行逻辑判断,数据计算以及系统运算等。本文采用QuartusII软件进行开发,运用自顶向下新型设计办法。核心词:自动、逻辑、交通灯、EDA、FPGA AbstractTraffic light controller plays a very important role in the re

3、gulation of urban traffic. The traditional traffic light controller is based on single-chip microcomputer or PLC. This paper introduces a scheme based on FPGA technology and II Quartus development platform to realize the intersection traffic light controller. Using VHDL hardware description language

4、 description of the module program,and in the II Quartus environment to compile,simulate,generate the top level files downloaded in the FPGA device EPF10K10LC84 FLEX for verification. The verification results show that the basic design to achieve the control of traffic light controller,including the

5、 countdown time display function and main function,the special state control,a road of red,yellow,and green light display function alternately,indicating that the design scheme is correct. In this paper,the design process of the traffic light controller,and briefly introduces the hardware descriptio

6、n bright prospect in the digital system design of VHDL language structure model and process design,VHDL design advantages and the language and an important position.EDA tools for electronic design personnel is extremely important,it can in each stage of electronic design,the level of computer simula

7、tion and verification,to ensure the design accuracy and can shorten the design cycle and reduce design cost. Can realize the automatic control of traffic lights crossroads traffic lights. Based on the FPGA design of traffic lights system has high reliability,real-time flash,high operation speed,the

8、failure rate is low,the circuit is simple,and the volume is small. This design uses Altera cycloneii series ep2c5t144 chip as the core of the minimum system,it can easily embed into the actual traffic lights application system,can realize the function of the simple logic control,data acquisition,sig

9、nal processing and mathematical computing;using QuartusII software as a development platform;using top-down designKeywords:automatic,logic,traffic lights,EDA,FPGA目录摘要IAbstractII目录III前言11 绪论21.1论文研究背景及意义21.2 FPGA开发历史及简介31.3 EP2C5T144最小系统简介41.4 本文研究重要内容52 硬件设计62.1硬件开发环境简介62.2硬件开发环境平台搭建62.3原理图设计113 软件设

10、计123.1软件开发平台简介123.2软件开发平台搭建133.3程序设计143.3.1 程序设计分析153.3.2 程序设计中需要注意问题194 实物模型展示204.1 实物模型简介204.2 实物模型演示办法214.2 仿真阐明23总结25参照文献26道谢27附件1 某些程序源代码28前言交通灯控制指挥系统,其本质就是规范和管理行人和车辆穿越路口行为,从保证人和车辆可以安全、迅速、合理和有效通过。在当前,交通灯控制器安装在各个路口上,大大提高了路口行人和车辆通行安全性和规范性,减少了交通事故发生几率。当前实现交通灯控制有诸多方案,例如采用PLC1(可编程序控制器)、原则逻辑器件、51单片机2

11、等方案来进行开发。若采用单片机平台进行开发设计,其大体电路框架涉及基于单片机主控电路、电源电路、显示电路和无线收发控制电路四个某些构成。控制电路芯片为51单片机或者STC单片机都可以。同步需要一种3.3V或者5.5CVDCDC,数码管显示电路和无线收发控制电路是由数据发射模块和编码芯片两某些构成。电路设计相对复杂不说,并且还需要进行软件设计。虽然基于单片机交通灯控制系统具备运营稳定,功能较多,灵活性比较好等优势,但是任然存在许多问题。特别是电路修改比较耗费时间,设计比较繁琐,在一定限度下增长了设计成本和维护周期。可编程控制器PLC优势在于是可靠性较好和稳定性较高,但是其也有诸多问题。PLC是一

12、种封闭构造,因此不同PLC控制器兼容性较差,并且不同PLC在程序设计上也存在着差别。这就意味着,一旦选取一种PLC之后,就只能按照该型号PLC有关方式进行编编程,并且需要学习有关设计语言规律,这些问题大大限制了PLC灵活性和多元性。与前两者相比,基于FPGA开发方案,不但具备较好灵活性,稳定性,并且编程语言是完全统一性,并且更简朴和稳定。因而,本次交通灯控制器开发设计采用基于VHDL语言,FPGA方式进行设计,不但经济节约和并且更加可靠稳定。其开发设计也相对更加简朴,故障维护也比较容易,更加适合当前都市交通指挥需求。1 绪论1.1论文研究背景及意义当前都市发展迅速,然而都市交通问题却越来越严重

13、。都市交通道路交通压力越来越大,经常会有交通拥堵,甚至是交通事故发生,交通疏导不及时也是导致这些问题重要因素。如今,全国几乎所有都市或多或少都存在这交通拥堵,交通混乱,甚至导致严重交通事故,这给人们出行以及车辆行驶带来了极大安全隐患,甚至导致生命和财产重大损失。那么如何可以迅速指挥和疏导交通,规范和约束行人和车辆行为,构建一种合理规范交通秩序,是当前当务之急。经研究调查发现,都市中拥堵重要因素是由当前都市交通指挥制度以及管理机制等问题不完善导致,现今都市正准备打造一种智慧都市,其中包括智慧交通,而智能交通正是都市道路上最重要一块。本设计采用了FPGA方案设计则正好大大满足了智慧交通理念。它将实

14、现都市每个路口运用交通控制系统从而控制整个都市交通,涉及系统改进,道路疏通,以及各路口指挥。特别是在十字路口,它将把当代化技术融入其中,打导致一种智慧交通路口。为了保证路口行人与车辆可以合理、有序、安全和迅速通过有关区域,采用交通信号灯来进行指挥和疏导交通状况,将大大减少事故发生几率以及保证交通畅通,人车有序通过。随着生活水平提高,人们对生活质量规定不断增长,汽车数量还在不断增长,研究和设计当代智能交通灯具备较好实际意义。现今EDA技术发展越来越快,人们运用EAD技术越来越以便于电路。运用EDA技术和FPGA办法来实现交通灯设计,非常复合当前需求,具备设计周期短,效果稳定,维护以便以及运用简朴

15、等诸多特点。这种设计方案也是符合当前电子技术发展方向,是比较合理。1.2 FPGA开发历史及简介FPGA或CPLD芯片都是ASIC有关芯片系列中比较特殊一种,其不但具备ASIC芯片自身特点之外,还具备如下独特特点:随着VLSI(超大集成电路)工艺不断提高,现能将几毫米见方硅片上集成上万至百万晶体管,无数个晶体管排列在一起,这样做话既能大大缩小空间,减少成本,并且还能保持原有功能。FPGA/CPLD芯片所含规模也越来越大,其中,光是单片逻辑门数就已经达上百万门。因而,它可以所实现功能也越来越强,能在上面完毕系统集成,即SOC(片上系统)。况且,每个FPGA/CPLD芯片均有质量保证,由于每块芯片

16、在出厂之前都做过测试,测试成果达标才干投入市场,因而,设计人员完全不需要承担任何风险,设计人员只需要完毕自己设计,通过软硬件完毕设计最后环节。因此,FPGA/CPLD资金投入就少,减少了潜在耗费。如果使用FPGA设计方案,顾客可以随意擦数以及编程,需要实现什么样功能完全由顾客自己决定,这样顾客就能完毕在不懂任何电路状况下实现交通灯各种功能。FPGA/CPLD芯片中还包括各种功能,例如输入工具,这样大大以便了顾客将程序输入环节,尚有仿真工具,以及版图设计工具等各种功能,各种功能集合,大大以便了设计人员,有了这些功能,设计人员就可以迅速完毕电路及程序输入以及调试。Iverson于1962年时候提出

17、了硬件描述语言(VHDL),详细解说了语言基本内容和基本构造,以及运用该语言在各种层次上对数字系统建模办法,从此之后,各国浮现了各种硬件描述语言,例如美国DATA I/O公司ABEL-HDL、Verilog公司开发Verilog HDL以及日本电子工业振兴协会开发UDL/I语言。但是由于这些硬件描述语言与其公司硬件产品密切有关,自身就是为其公司设计而成,不能推广开来,并且语法杂乱,因而导致了信息交流不便以及设备维护种种困难。但自从1987年VHDL(超高速硬件描述语言)被定为IEEE原则(IEEE-STD-1076)以来,VHDL已被工业界广泛承认,并在工业界推广开来,越来越多人使用VHDL语

18、言,VHDL已成为数字系统设计和存档重要工具,极大提高了数字系统设计水平和效率,在此方面大大影响了工业界,给工业界带来了无法预计价值。VHDL浮现,不但在工业界获得了重大作用,并且在大规模数字电路系统领域发挥很大作用,例如在逻辑领域这块,相比于老式办法,运用VHDL将更加便捷,因而,VHDL将成为EDA领域重要构成某些。 VHDL重要用于描述数字系统构造,行为,功能和接口。除了具有许多具备硬件特性语句外,VHDL语言形式和描述风格与句法是十分类似于普通计算机高档语言。VHDL程序构造特点是将一项工程设计,提成外部(或称可视某些,及端口)和内部(或称不可视某些),这样分开之后,两者分开解决,既涉

19、及了实体内部功能,又能完毕算法某些,大大提高了解决水平。当对一种设计实体定义了外部界面后,在解决外部界面时,一旦其内部开发完毕后,内部文献就可以被其她设计使用,其她设计就可以直接调用这个实体。这种将设计实体提成内外某些概念是VHDL系统设计基本点。1.3 EP2C5T144最小系统简介本开发板采用主芯片FPGA型号为Altera公司最新Cyclone IV系列中EP2C5T144,等效门数大概为50万门(是Cyclone EP1C3(10万门)5倍)。开发板电源系统需要电源大小是5V直流电源,通过板上LDO电源系统出来,然后给FPGA系统各个元件提供所需各种电压,并且可以向外输出5V、3.3V

20、两种电压。电源系统出来后则是板上配EPCS4配备芯片,JTAG和AS两种下载端口,以便下载程序,以及50MHz有源晶振,给系统提供时钟信号。FPGA所有引脚均是通过两个40Pin2.54mm原则排针接口引出,引脚大小符合国际原则,并与国际流行DE2开发板上扩展接口管脚兼容,因而如果有先有扩展卡,都可以拿来使用。系统内设有8个LED发光二极管,其作用是用来做I/O输出显示。除此之外,系统内尚有4个按键开关、8位数字拨码开关构成I/O输入,其作用是用来控制系统功能。PCB板是采用四层板设计,板子性能以及稳定性相称可靠。通过扩展接口可以将同样多块FPGA板以层叠形式集成在一起简朴扩充系统容量,相称于

21、多块硬盘结合成一块大硬盘,这样不但扩大了容量,还能省去不少空间。除此之外,PCB板形成了多Nios嵌入式并行解决器小型实验系统,可以驳接SRAM、SDARM、七段LED、LCD、Video/Audio、AD/DA等设备和其她各种形式顾客外设接口板。该开发板重要是为学习FPGA/HDL/嵌入式Nios等数字逻辑学生和兴趣者提供一种简朴便宜实验平台。开发板规定输入电压是5V。通过U2:LM1085-3.3LDO芯片出来将会到3.3V电压。再通过AMS1117-1.2LDO芯片,通过AMS1117-2.5LDO芯片U4产生FPGA Cyclone IV芯片内部PLL所需要独立电源。其中有3.3V电压

22、是给FPGAIO提供。也就是说本设计采用了简化FPGA IO引脚电平是+3.3V,而不提供应顾客 Cyclone IV 其他不同电平原则 I/O电平选取方式。 +1.2V电压是提供应FPGA内核工作电压,+2.5V是FPGA内部PLL专用工作电压。1.2V电压纯净限度规定比较高,故采用钽电容滤波。1.4 本文研究重要内容交通灯控制电路是作用重要是用于都市交通疏通,每当有大量车辆出入导致堵塞时候,交通灯控制电路就能体现它用处了。交通信号灯在人与车辆交替行驶时,能实现红灯、黄灯、绿灯自动指挥,更好实现当代化交通管理。交通灯批示告诉咱们,绿灯亮时,准许车辆通行,但转弯车辆不得妨碍被放行直行车辆、行人

23、通行;黄灯亮时,已越过停止线车辆可以继续通行;红灯亮时,禁止车辆通行。在每个城乡十字路口,普通都在每条道路上各有一组红、黄、绿交通信号灯,这样用意就是在于保证交通秩序和行人安全。在未设立信号灯路口,车辆和行人应当按照机动车信号灯表达通行。交通灯控制电路将会自动控制十字路口交通灯切换,安全指挥各种车辆以及行人通过,真正实现城乡交通自能化管理。一种十字路口交通控制器,不光是交通灯切换,更重要是将十字路口四个方向合理运转,方向有东南西北,东西方向红绿灯状态同样,南北方向红绿灯状态同样,每个方向上,有四盏灯,分别是左转灯、红灯、绿灯和黄灯。左拐灯亮表达左转车辆可以通行;红灯亮表达左转和直行车辆禁行;绿

24、灯亮表达直行车辆和右转车辆可以通行;黄灯亮表达左转和直行车辆即将禁行。本论文则简要简介了FPGA芯片特点以及设计意义,本系统是以QuartusII软件为开发平台,然后通过VHDL硬件描述语言来编写程序,以及设计原理图以输入方式来设计交通灯。FPGA芯片是一款功能非常强大,可多次编程及擦除逻辑芯片,本系统采用了FPGA设计ASIC电路,顾客将不需要投片生产,基于FPGA交通灯控制器设计就能实现相应功能。FPGA内部有着丰富触发器和I/O引脚。FPGA芯片是小批量系统提高系统集成度、可靠性最佳选取之一。FPGA开发,是ASIC电路中风险最小、开发费用最低、设计周期最短器件之一。FPGA采用高速CH

25、MOS工艺,功耗低,性能强,可直接与CMOS和TTL电平兼容。因而用FPGA完毕交通灯设计不光要合理,更注重是芯片选用。本论文通过设计交通安全指挥灯,从而来形象指挥行人和车辆安全通行。在十字路口处,是发生危险重点去,因而在十字路口东西南北四个方向必要合理安排。东西方向交通信号灯状态保持一致,南北方向交通信号灯状态也保持一致。其中每个方向有左转灯、红灯、绿灯和黄灯,共四盏。左转灯亮阐明车辆可以左转通行;红灯亮阐明禁止车辆直行和左转;绿灯亮阐明容许车辆直行和右转;黄灯亮阐明即将禁止车辆直行和左转。在每个方向上均设有一种倒计时显示屏,以显示禁止或容许通行倒计时间。2 硬件设计2.1硬件开发环境介 在

26、本次毕业设计中,笔者硬件开发环境是AD14,即Altium Designer 14硬件开发环境。AD14是Altium Designer 是原Protel软件开发商Altium公司推出一体化电子产品开发系统,重要运营在Windows操作系统。该软件全面集成了原理图设计、原件绘制、原件封装绘制、PCB板图绘制以及电气仿真等等功能,为开发者提供一种先进开发环境和灵活运用平台,当前最高版本为Altium Designer 15.0.7 。Altium Designer 开发工具全面涉及继承涉及Protel 99SE、Protel DXP在内此前有关版本有关功能和优势外,继续添加了某些新创新功能,该平

27、台拓宽了板级设计老式界面,全面集成了FPGA设计功能和SOPC设计实现功能,从而容许工程设计人员能将系统设计中FPGA与PCB设计及嵌入式设计集成在一起。 由于Altium Designer 在继承先前Protel软件功能基本上,综合了FPGA设计和嵌入式系统软件设计功能,Altium Designer 对计算机系统需求比先前版本要高某些。Altium Designer不但拥有强大PCB设计能力,并且尚有强大逻辑仿真分析能力,是一款特别适合初学者使用PCB绘制软件。该软件重要任务涉及:1. 电气原理图开发回执;2.印刷电气属性电路板PCB设计;3.FPGA程序及其仿真设计;4 .嵌入式系统电路

28、设计开发;5. 3D PCB模型绘制及其演示。2.2硬件开发环境平台搭建上一节笔者简介本次硬件设计软件为Altium Designe 14.0,下面笔者简朴简介AD14如何建立工程项目工程。 环节一:启动AD软件,如下图2.2.1: 图2.2.1 AD启动界面 环节二:在启动后界面如下图2.2.2所示: 图2.2.2环节三:如图2.2.3所示,在打开界面中,打开菜单中选取FileprojectPCB Project,即可创立一种工程项目。图2.2.3环节四:创立完毕后,在窗口左边会浮现如下控制台,如图2.2.4所示:图2.2.4环节五:如图2.2.5所示,将鼠标移动至项目工程图标处,单机鼠标左

29、键,在弹出下拉菜单中选取“add new to Project”选项,在弹出菜单中,就可以添加原理图和PCB制作图板了,如图所示:图2.2.5在选取“schematic”,就是添加原理图制作文献,如图2.2.6所示为原理图制作窗口:图2.2.6自此就可以开始设计原理图了。2.3系统分析依照下面系统框架图咱们可以分析得知,论文中所涉及十字路口交通灯只能疏导控制系统必要具备可以指挥迈进和左转功能,尚有拥有行人批示灯功能,并且是控制绿黄红灯按有关顺序进行循环亮和灭。并且规定绿灯亮转红灯亮或者转左转灯亮之前要先转黄灯亮5秒,左转灯亮转红灯亮之前也要先转黄灯亮5秒,红灯亮可以直接转绿灯或左转灯亮(四种灯

30、循环顺序如图2.1所示)。还规定四种颜色灯点亮时间可以通过倒数计时方式进行显示。可以用VHDL语言合理设计系统功能,使红黄绿左转灯转换有一种精确时间间隔和转换顺序。图2.3 批示灯转换顺序采用基于FPGA逻辑编程办法来进行该设计,具备编程简朴、操作灵活、便于优化升级特点。同步,当前FPGA元器件迅速进步,编程设计和原理图电路设计等设计平台迅速发展和进步,FPGA设计方式越来越受到关注和运用。依照设计需求和该系统必要所具备功能,并参照有关文献资料经当前方案设计画出十字路智能口交通灯控制系统框图如下图所示,这也是本次设计总体方案,框图如下图2.4所示:CLK时钟分频模块交通灯控制及计时模块扫描显示

31、模块LED显示数码管位码数码管段码图2.4 系统框图2.4原理图设计1.数码管时间显示以及信号灯切换电路设计原理如下图所示,如下图2.3所示为显示电路某些,图2.3 显示以及信号灯电路如图所示,本文交通灯显示和批示灯电路,就是由图2.3相似四个电路构成,分别批示四个路口交通状况。这里规定开发板上必要要设定一种计数器,且计数值为0-60。当计数值达到60后,下一种时钟沿回答到0,开始下一轮计数。但是,如何系统检测发现了特殊状况(EMI =1)浮现时,计数器将会迅速暂停工作,此时本系统复位信号RESET将命令计数器强制清零。这样每一种电路控制端口都直接连接在开发板上,便于开发对整个系统控制是完美。

32、由于采用FPGA芯片时钟频率是50MHz,需要将其分频为1Hz。也就是说当前模块分频为50M,且将有关频率瞬间变换成1Hz脉冲波信号,这样得到有关脉冲波周期将可以达到1S。如果系统可以达到分频50M,这时在仿真图上是主线观测不了,也就无法进行精确验证和测试,因此在仿真时候,最佳是将分频系数调小某些,大概20分频就可以了,这时候就可以很清晰从图中得到并分析对时钟输入信号分频了,如图2.4所示, Reset是复位信号,CLK_50MHz是输入时钟频率,CLK_1Hz是输出时钟频率,从图中可以看出,输出频率CLK_1Hz的确是输入时钟频率CLK_50MHz20分频。交通灯信号计时显示某些,是按照有关

33、输入STATUS信号,产生相应批示灯信号,其直接可以控制交通灯点亮或熄灭,在批示灯信号显示电路程序测试真成果中,不难发现,STATUS是一种输入信号,而它是必要按照状态控制模块产生脉冲信号,即STATUS信号,一种六种状态,依次为000(S1)、001(S2)、010(S3)、011(S4)、100(S5)、101(S6);EW_LRGY(8位)是东西方向上交通信号灯状态, SN_LRGY(8位)是南北方向上交通信号灯状态,依次为左转、红、绿、黄,相应着EW_LRGY、SN_LRGY前四位,1表达点亮,0表达熄灭,后四位均为0,表达四个灯阴极接负极。 图2.4 整个批示电路3 软件设计3.1软

34、件开发平台简介在本次毕业设计中,重要运用软件开发环境是Quartus II, Quartus II 是Altera公司新一代功能跟强大综合性PLD开发软件,支持原理图、VHDL、Verilog HDL以及AHDL语言等各种不同设计形式和办法,其内部它自身独立综合器以及独立高效仿真器,可以进行从程序设计输入到有关硬件设立和模仿仿真等设计流程。 Quartus II可以在XP系统、Linux系统涉及Unix系统上都可以进行安装运营,同步该软件兼容Tcl脚本语言,并且还具备人性化顾客UI环境可以体验,具备不久操作速度和运营速度,高度界面统一性,功能强大实用,操作简朴等特点。Quartus II兼容A

35、ltera有关IP核,同步内嵌了LPM/MegaFunction宏定义功能模块底层库,这样顾客很容易调用有关函数模块进行编程设计,对顾客而言更加简朴和以便。此外该软件开发平台也支持有关第三方开大平台。例如支持Synplify pro、Lenoardo Specturn以及Modelsem等第三方EDA工具来完毕设计任务和仿真。Quartus平台与Cadence、ExemplarLogic、MentorGraphics、Synopsys和Synplicity等EDA供应商开发工具相兼容。该软件开发平台进一步改进了系统模块工具中LogicLock模块分析和设计功能,此外也增长了FastFit编译选

36、项。事实上,在Quartus II开发平台当前运用已经十分普遍,在诸多领域随着FPGA编程普及,不可避免运用到了有关Quartus II开发平台,由于该平台操作相对比较简朴,并且也比较容易理解,学起来也比较快,操作更加顺手。此外,其具备强大编译和分析功能,特别是在解决复杂逻辑运算时,可以直接调用其内嵌大量解决工具。因而,该平台收到了极大欢迎。3.2 Quartus II设计流程简介如图3.1所示就是Quartus II设计流程图, 图3.1 设计流程图该软件开发平台详细操作过程如下所示:1. 一方面,进行设计之前,需要建立一种工作库文献夹和并且新建有关编译设计和程序编写文献。2. 然后,开始创

37、立有关工程文献。3. 编程完毕后,就开始进行编译程序进行调试。4. 调试没有语法错误后,就可以进行时序仿真5. 时序仿真后,如果没有问题,就可以进行引脚锁定6. 最后,就可以将程序下载到有关硬件环境中实际验证3.3程序设计1用VHDL编写程序,来实现交通灯控制器原理框图如图3.3示 图3.3交通信号灯控制器程序原理框图 程序中时钟信号clk为石英晶体(327868HZ)分频后8Hz原则信号作为产生1秒信号输入信号,为LED数码管驱动电路(MC4511)提供输入信号,用于县市交通灯所处状态时间。6个输出信号(相应两组红黄绿灯,FR1、FY1和FG1为主干道红黄绿灯信号, FR2、FY2和FG2为

38、支干道红黄绿灯信号)。该程序由7个进程构成,进程P1和P2将8Hz原则信号分频后产生1秒信号(carry),进程P1和P2 进程P3、P4和P5 进程构成两个带有预置数功能十进制计数器,其中P4产生容许十位计数器计数控制信号(en)。进程P6实现状态转换和产生状态转换控制信号(load),进程P7产生次态信号(next_state)和信号灯输出信号(FR1、FY1、FG1、FR2、FY2和FG2),以及每一种状态时间值(即计数器预置数)和下一种状态。3.3.1 程序设计分析图3.4 程序流程图上图就是本次设计程序流程图3.3.2 程序分析与仿真一种完整VHDL语言程序普通涉及实体(Entity

39、)、构造体、配备、包集合(Package)和库(Library)5个某些构成。下面结合实际程序来加以阐明。一方面是更灯函数转换如下图3.3所示when ew_yellow0 = ew_stateew_stateew_state ew_state L=000;dled L=001;dled L=010;dled L=011;dled L=100;dled L=101;dled L=110;dled L=111;dled=display(sn_secondL); end case; end if; end process;end control;关于各种信号依次是:clk:系统输入时钟50MHzr

40、st_n:系统异步复位信号LEDDX:东西向LED红绿灯rledDX:东西向人行道红灯LEDNB:南北向LED红绿灯rledNB:南北向人行道红灯SGMDX:东西向数码管段选SGMNB:南北向数码管段选SWDX:东西向数码管位选SWNB:南北向数码管位选仿真图如下图3.5所示图3.5 信号仿真图*产生计时信号2*/always (posedge clk or negedge rst_n)beginif(!rst_n) beginscountb=d2;cntb=50000000)begincntbd0)scountb=scountb-d1;elsescountb=d0;endelse cntb=

41、cntb+d1;endelsescountb=timesb;end4 实物模型展示4.1 实物模型简介图4.1 交通灯模型图如图4.1所示,这就是本文设计一种智能交通等大体模型,从图上可以看出,每一种路口有三个批示和两个行人批示灯。考虑到实验室所用FPGA器件EP2C5T144最小系统板上数码管数量比较少以及LED逻辑状态批示管数量相对比较有少,因而,本论文设计十字路口交通灯控制器不具备左拐弯功能,仅实现主、支干道红、黄、绿灯、倒计时数显示,初始化设立以及特殊状态控制功能。实现红黄绿灯智能控制和管理系统广泛运用是当前当代都市构建智能交通指挥疏导系统重要研究课题。通过对上面模型图分析,可以明白本

42、设计,是简介一种基于FPGA十字路口智能交通灯控制系统,该系统分别由四组红、黄、绿灯以及四组红绿灯构成,其中四组红黄绿灯是指挥十字路口车辆,四组红绿灯是指挥行人。此外由VHDL语言在有关开发系统板上对因此灯开关进行系统合理控制,使得所有颜色灯按照一定规律循环亮和灭。 4.2 实物模型演示办法该模仿平台只要给其通上电源后,系统开发板会自动启动在一种计算周期内,该交通控制指挥系统有四种运营模式,涉及S0、S1、S2、S3四个不同状态。四种状态运营模式为S0S1S2S3,S3结束后,返回到S0状态,整个运营周期持续为60s。R表达信号重置,有关设立重置后系统开始自动按本来规律进行循环。SPC是一种紧

43、急控制信号,一旦该信号电平置高后系统自动切换至S6状态,该状态解除后,系统将被初始化,自动变为S0。WE和SN是两组控制不同方向左转控制信号。当直行方向容许通车后,WE将被置高,系统被切换值S4模式,同样S4状态解除后,系统切换至S1模式。当直行方向禁止通车后,SN将被置高,此时系统将会变成S5状态,S5状态解除后,系统立即变成S3状态,又以此循环下去。每一组红绿灯状态批示方式如下:S0:直行道路点亮绿,另始终行道路显示红灯。也就是说此时一条直行道路可以通车,另一条直行道路将被禁止通车,整个状态维持60s。 S1状态:一条直行道路亮黄灯、另一条亮红灯。这个时候第一条直行道路即将禁止通行,另一侧

44、直行通道任然禁止通车,这个状态将维持5S。 4.3 效果展示 如下图所示,这就是硬件运营后效果图,图4.3 效果图1总结运用网络和图书馆有效资源,并且参照阅读了诸多资料,并进行仔细分析和研究,充分验证后作出了有关设计思路;最后按照该方案,通过向同窗教师请教,最后完毕了这个课题任务。本设计是通过运用VHDL语言进行程序设计,以芯片EP2C5T144FPGA实验箱为开发平台,通过简朴外围电路,以双色LED变换过程,模仿交通十字路口交通灯红绿两个信号灯变化,本设计综合分析了各种通行状况也许,加入了比较了人性化声音和时间提示功能。由于硬件芯片I/O口数量实在无法满足有关需求,在硬件测试过程中不得不删除

45、了一某些软件调试中功能,例如由三行道改为了双行道,但是这并不意味着该系统功能在软件仿真上不能实现。同步,我深深体会到了通过FPGA在设计数字电路方面,具备操作以便、设计简洁特点,这让我相信将来数字电路应用必将更加广泛。此外,我深深感觉到:从事研发和设计有关工作,必要要保持勤奋、踏实、认真、不放弃学习态度,坚持这种态度才干让自己学习和工作做得更好。让我结识自己能力,找准自己局限性点,加强有关点学习和认知。更重要是,这一次毕业设计让我学会了如何面对艰难、如何别人合伙和交流、如何独立思考和应对不拟定问题。让我深刻理解到,成功之初艰辛,只有认真找到问题实质,真正理解到问题主线后,才可以有更有效找到解决问题办法。 最后敬请各位专家、教师和同窗对论文局限性和谬误之处提出宝贵指引意见和建议,谢谢。

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 学术论文 > 毕业论文/毕业设计

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服