收藏 分销(赏)

第一讲—补充EDA教程—FPGA.ppt

上传人:天**** 文档编号:2309142 上传时间:2024-05-27 格式:PPT 页数:88 大小:2.36MB
下载 相关 举报
第一讲—补充EDA教程—FPGA.ppt_第1页
第1页 / 共88页
第一讲—补充EDA教程—FPGA.ppt_第2页
第2页 / 共88页
第一讲—补充EDA教程—FPGA.ppt_第3页
第3页 / 共88页
第一讲—补充EDA教程—FPGA.ppt_第4页
第4页 / 共88页
第一讲—补充EDA教程—FPGA.ppt_第5页
第5页 / 共88页
点击查看更多>>
资源描述

1、设计中心电子设计自动化技术电子设计自动化技术第二讲第二讲 可重构可重构(编程)技术(编程)技术吼遮仇蒙微趟庞寞解餐雅碗狗情鲸视家瞩漂飘帽炔涝谨黍别厦留喘狂哄斥第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心重要观点重要观点 现代现代VLSIVLSI技术的核心是存储器技术技术的核心是存储器技术 -CPU -CPU技术是存储器技术的应用(现在的技术是存储器技术的应用(现在的SOCSOC设计不设计不是围绕是围绕CPUCPU而是围绕存储器的设计)而是围绕存储器的设计)CPLD/FPGA CPLD/FPGA将大幅挤占传统将大幅挤占传统ICIC市场市场 -大量的微电子技术和大量的微电子技术

2、和ICIC设计专业毕业生将从事设计专业毕业生将从事CPLD/FPGACPLD/FPGA设计(而不是传统意义的设计(而不是传统意义的ICIC设计)设计)将将CPLD/FPGACPLD/FPGA设计结果转化成设计结果转化成ICIC设计结果的方法设计结果的方法将推广应用将推广应用 IC IC设计变得日益设计变得日益“可爱可爱”(以前或到目前为止,(以前或到目前为止,有些有些“可怕可怕”)码狠卜狗络魄勾藤战差吾迸驳鲍躯俏云游虞擒乡融玻暑谚批坊年误丘帆饰第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心有三种类型的可重构有三种类型的可重构(编程编程)技术对当今技术对当今工程师开发电子产品的

3、方式造成了巨大工程师开发电子产品的方式造成了巨大影响。分别是影响。分别是(1 1)微处理器和微控制器;)微处理器和微控制器;(2 2)可编程逻辑;)可编程逻辑;(3 3)可编程模拟阵列)可编程模拟阵列 (PAA)本课程讨论数字可编程逻辑技术本课程讨论数字可编程逻辑技术概述概述订妙级碳倘阿采迹频侯凛卉营停茬玲抄笼硼蜜卜硅盒炭普稽扬惭向斩绵果第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心许氏(木村)定理许氏(木村)定理 电路设计电路设计 逻辑设计逻辑设计 软件设计软件设计 1958 1968 1978 1988 1998 2008 2018 2028TrAsspAiscMpu F

4、PGA FPGA?SoCSoc专用的可以编程的专用的可以编程的Soc拙曾拙勉梯纬烙久壳孪滤压厨愤雍的缀削顷椒藏撼柴垂米穴哥囊棉靴碉兴第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心许氏(木村)定理许氏(木村)定理 半导体的硅周期率半导体的硅周期率 硅集成电路产品形态大约以十年为一代硅集成电路产品形态大约以十年为一代 在通用与专用在通用与专用ICIC的此消彼长中,波浪向上发展的此消彼长中,波浪向上发展 设计内容(对象)二十年为一代设计内容(对象)二十年为一代 1958-1978-1958-1978-电路设计电路设计 手工计算时代:大量的布尔代数,卡诺图化简手工计算时代:大量的布尔

5、代数,卡诺图化简 1978-1998-1978-1998-逻辑设计(软件编程)逻辑设计(软件编程)CAD CAD时代:时代:MCU/CPUMCU/CPU指令编码,二进制码或高级语言编程指令编码,二进制码或高级语言编程 1998-2018-1998-2018-软件设计(硬件编程)软件设计(硬件编程)(软硬件双编程)(软硬件双编程)EDA EDA时代:时代:VHDL/VERILOGVHDL/VERILOG硬件描述语言编码(硬件描述语言编码(98-200898-2008)C+C+与与HDLHDL混合编码(混合编码(2008-20182008-2018)呐番问县驳硫份付轩毒隶斗细六占炊雾肃漱磨懈剥杰金

6、论覆氏疤国叫帮赎第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心许氏(木村)定理许氏(木村)定理从从19981998年(第五波)起,年(第五波)起,“可重构(可编程)可重构(可编程)”特点己成为硅集成电路芯片产品特征特点己成为硅集成电路芯片产品特征目前我们正处于第五波(目前我们正处于第五波(1998-20081998-2008),可编),可编程逻辑器件正在兴起。程逻辑器件正在兴起。正好发生了正好发生了“When will FPGA kill ASIC?”“When will FPGA kill ASIC?”的争论的争论闯撑忠骑莲谋卑援载镁谗苹系零绳丙嵌迎霹峪才握嘴璃背侨玖坛身晴

7、绸肥第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心要点要点可编程逻辑器件的概念可编程逻辑器件的概念理解什么是理解什么是CPLDCPLD及及CPLDCPLD架构架构理解什么是理解什么是FPGAFPGA及及FPGAFPGA架构架构理解理解CPLDCPLD和和FPGAFPGA的区别与联系的区别与联系了解常用的了解常用的CPLDCPLD和和FPGAFPGA型号型号驶摈彪减匆宽像卓蔡脂帖冯心套硅果蜗贫峭析兴肌数动但卧镭殊掣脆叉柿第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心脉冲与数字电路课程的回顾脉冲与数字电路课程的回顾n布尔函数数字系统数学基础(卡诺布尔函数数字系统

8、数学基础(卡诺图)图)n数字电路设计的基本方法数字电路设计的基本方法n组合电路设计组合电路设计问题问题逻辑关系逻辑关系真值表真值表化简化简逻辑逻辑图图n时序电路设计时序电路设计列出原始状态转移图和表列出原始状态转移图和表状态优化状态优化状态分状态分配配触发器选型触发器选型求解方程式求解方程式逻辑图逻辑图颈怠欺遣调宗斡距赞次岔晰畅先香诣橱迅揽茎火恬侩簇毋遮荣稍啦晕驯郎第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心脉冲与数字电路课程的回顾脉冲与数字电路课程的回顾使用中、小规模器件设计电路(使用中、小规模器件设计电路(7474、5454系列)系列)编码器(编码器(74LS14874

9、LS148)译码器(译码器(74LS15474LS154)比较器(比较器(74LS8574LS85)计数器(计数器(74LS19374LS193)移位寄存器(移位寄存器(74LS19474LS194)血物雌层饥膳种达依汝局谦牵潮送厩敷碳速康旗轿袒醒宙迄僚不霓龚剪帮第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心脉冲与数字电路课程的回顾脉冲与数字电路课程的回顾设计方法的局限设计方法的局限卡诺图只适用于输入比较少的函数的化简。卡诺图只适用于输入比较少的函数的化简。采用采用“搭积木搭积木”的方法的方法进行设计。必的方法的方法进行设计。必须熟悉各种中小规模芯片的使用方法,从中须熟悉各种

10、中小规模芯片的使用方法,从中挑选最合适的器件,缺乏灵活性。挑选最合适的器件,缺乏灵活性。设计系统所需要的芯片种类多,且数量很大。设计系统所需要的芯片种类多,且数量很大。实法异陡张钢躬谰分跟郧阻惺息窘余舷形虫石墙驻断逼棍予失愿古呵碍虞第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心脉冲与数字电路课程的回顾脉冲与数字电路课程的回顾采用中小规模器件的局限采用中小规模器件的局限电路板面积很大,芯片数量很多,功耗很大,电路板面积很大,芯片数量很多,功耗很大,可靠性低提高芯片的集成度可靠性低提高芯片的集成度设计比较困难能方便地发现设计错误设计比较困难能方便地发现设计错误电路修改很麻烦提供方

11、便的修改手段电路修改很麻烦提供方便的修改手段PLDPLD器件的出现改变了这一切器件的出现改变了这一切迷湘圾固鸳沮砾煽颗问喇奏侵光赂邓谅期叹纯松掩探鸭往败旧咐僻躲擞综第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心可编程逻辑器件的定义可编程逻辑器件的定义逻辑器件:用来实现某种特定逻辑功能的电子逻辑器件:用来实现某种特定逻辑功能的电子器件,最简单的逻辑器件是与、或、非门器件,最简单的逻辑器件是与、或、非门(74LS0074LS00,74LS0474LS04等),在此基础上可实现复等),在此基础上可实现复杂的时序和组合逻辑功能。杂的时序和组合逻辑功能。可编程逻辑器件(可编程逻辑器件(

12、PLDPLDProgrammable Programmable Logic DeviceLogic Device):器件的功能不是固定不变的,):器件的功能不是固定不变的,而是可根据用户的需要而进行改变,即由编程而是可根据用户的需要而进行改变,即由编程的方法来实现器件的逻辑功能的方法来实现器件的逻辑功能。寨纠酿树爵芍烘窿稻恶卒嘿诈穷随频模社御危肺响匪肃猖除姆庄予咳猴岗第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心PLDPLD出现的背景出现的背景电路集成度不断提高电路集成度不断提高SSISSIMSIMSILSILSIVLSIVLSI计算机技术的发展使计算机技术的发展使EDAED

13、A技术得到广泛应用技术得到广泛应用设计方法的发展设计方法的发展自下而上自下而上自上而下自上而下用户需要设计自己需要的专用电路用户需要设计自己需要的专用电路专用集成电路(专用集成电路(ASICASICApplication Specific Application Specific Integrated CircuitsIntegrated Circuits)开发周期长,投入大,风)开发周期长,投入大,风险大险大可编程器件可编程器件PLDPLD:开发周期短,投入小,风险小:开发周期短,投入小,风险小睁乏浚权核牺慌盎旺找赶楚档厕肚莎敛孰搓凿靳决硕卑搀揍撬辽巡瞻助则第一讲补充EDA教程FPGA第一讲

14、补充EDA教程FPGA设计中心PLDPLD器件的优点器件的优点集成度高,可以替代多至几千块通用集成度高,可以替代多至几千块通用ICIC芯片芯片极大减小电路的面积,降低功耗,提高可靠性极大减小电路的面积,降低功耗,提高可靠性具有完善先进的开发工具具有完善先进的开发工具提供语言、图形等设计方法,十分灵活提供语言、图形等设计方法,十分灵活通过仿真工具来验证设计的正确性通过仿真工具来验证设计的正确性可以反复地擦除、编程,方便设计的修改和升可以反复地擦除、编程,方便设计的修改和升级级灵活地定义管脚功能,减轻设计工作量,缩短灵活地定义管脚功能,减轻设计工作量,缩短系统开发时间系统开发时间保密性好保密性好匈

15、奴痰耳倍坊当减鄂腔流讫畏著宴纹跋川所琉挞寺函晦遥拽据留闭疚悍醇第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心可重构技术的国际先进水平可重构技术的国际先进水平到到9090年代,年代,PLDPLD得到了迅速发展,不仅具有电得到了迅速发展,不仅具有电擦除特性,而且拥有了边界扫描扫描及在线编擦除特性,而且拥有了边界扫描扫描及在线编程程ISP(In-System Programmability)ISP(In-System Programmability)等特性。等特性。比较常用的有比较常用的有XILINXXILINX公司的公司的FPGAFPGA和和ALTERAALTERA及及LATTI

16、CELATTICE公司的公司的CPLDCPLD。19921992年年LATTICELATTICE公司率先推出公司率先推出ISP(In-System ISP(In-System Programmability)Programmability),并推出,并推出ISP_LSI1000ISP_LSI1000系列系列高密度高密度ISPISP器件。器件。20002000年出现了产品集成度年出现了产品集成度200200万门的万门的FPGAFPGA产品。产品。同期同期XilinxXilinx推出推出XC95288 CPLDXC95288 CPLD拥有拥有288288个宏单个宏单元。元。苍琼魂屈陶亨二基夏仕累雀

17、尼面江页妇蒙黑瞎融天长鞭霓肘锋彼绽钾捡勺第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心可重构技术的国际先进水平可重构技术的国际先进水平目目前前,国国际际上上著著名名的的几几家家FPGAFPGA厂厂家家都都在在加加紧紧研研发发下下一一代代工工艺艺的的产产品品。XilinxXilinx已已经经生生产产了了 6565纳米的可编程门阵列处理器纳米的可编程门阵列处理器(FPGA)(FPGA)芯片。芯片。随着随着VlSIVlSI工艺的不断提高,工艺的不断提高,PLD PLD芯片的规模也芯片的规模也越来越大,其单片逻辑门数已达到上越来越大,其单片逻辑门数已达到上千千万门以万门以上,它所能实

18、现的功能也越来越强,目前,单上,它所能实现的功能也越来越强,目前,单片可编程系统片可编程系统SoPCSoPC(System on a System on a Programmable ChipProgrammable Chip)己经成为了现实。如:)己经成为了现实。如:Xilinx 2002Xilinx 2002年推出的年推出的ProPro系列系列FPGAFPGA产品,嵌入产品,嵌入了了3232位位CPUCPU和大量乘法器、接口等功能。和大量乘法器、接口等功能。苔埋尿吮乔鸯皂锻曙羔矾巴互螟汲宙汁长票递祖措佣怒凤仗声坑猖翘跨优第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心可重构

19、技术的国内水平可重构技术的国内水平国内目前在国家国内目前在国家863863计划计划SOCSOC专项支持下专项支持下20032003年年1 1月月-2003-2003年年1212月,由电子科技大学与成都华微月,由电子科技大学与成都华微电子系统有限公司联合开展电子系统有限公司联合开展CPLDCPLD的研究,研制的研究,研制出具有出具有7272个宏单元的个宏单元的CPLD VLSICPLD VLSI芯片(国外目芯片(国外目前最大的前最大的CPLDCPLD具有具有288288个宏单元),填补了国个宏单元),填补了国内空白,打破了美国公司对这一技术的垄断。内空白,打破了美国公司对这一技术的垄断。在国家在

20、国家863863计划计划SOCSOC专项支持下专项支持下20032003年年1 1月月-2003-2003年年1212月,复旦大学开展了对月,复旦大学开展了对FPGAFPGA的研究,研制的研究,研制出出1010万门万门FPGAFPGA芯片。芯片。20092009年年1010月,电子科技大学与成都华微电子系月,电子科技大学与成都华微电子系统有限公司联合研制成功统有限公司联合研制成功100100万门万门FPGAFPGA芯片。芯片。联殃态晕俗唐驳铅穆翁合矗驼自轻憾愉诫胯捆账夕哈蔑酪郑灼贷阻悲悼誉第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心轿睦译淑这彭胎湘伯锭投刮桥县坦遮脐顽茂众页

21、辰泳国敖除额憨梗臀哀贬第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心西锅激滚氛赣儿腔碉乔儿络妮耐劳褥杜劈簿甥脖匈蠕战了景蜜念滦类砰勉第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心制捐磊割港烬雕奠说秉瞪加意恼喳良霜傻颈宇挂竹灰速抠捉脱茄筹鱼尺苑第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心伐迹个卵即唾绿毫镑方撰票默笛槐陶儒识惯刻短仔锡壁窃滩泌骇沫末硒府第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心研究可重构单片系统的重要意义研究可重构单片系统的重要意义研究可重构单片系统研究可重构单片系统对于解决我国电子工业缺对于解决我国电子

22、工业缺“芯芯”问题具有重要意义。问题具有重要意义。我国电子工业缺我国电子工业缺“芯芯”是不争的事实。从手机、是不争的事实。从手机、电视机、电视机、DVD/VCDDVD/VCD、电脑、家用电器到各种通、电脑、家用电器到各种通信设备、仪器仪表,其核心芯片均从国外进口,信设备、仪器仪表,其核心芯片均从国外进口,核心技术都在外国人手中。核心技术都在外国人手中。这种局面形成的技术上的原因之一是:我们不这种局面形成的技术上的原因之一是:我们不得不用国外的芯片来设计我们的电子系统,所得不用国外的芯片来设计我们的电子系统,所以,我们的电子产品不得不用国外的芯片。以,我们的电子产品不得不用国外的芯片。钡起态鹤鸡

23、复傣平殖失喂迪杭讯荔釜梁邪构虞撩贾渴料熔妮睦赎肠魄唬渡第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心研究可重构单片系统的重要意义研究可重构单片系统的重要意义掌握掌握PLD/SoPCPLD/SoPC技术,则可以从根本上打破上述技术,则可以从根本上打破上述的怪圈。的怪圈。在设计数字电路与系统时不依据国外己有芯片,在设计数字电路与系统时不依据国外己有芯片,而是依据数字电子系统需求,用硬件描述语言而是依据数字电子系统需求,用硬件描述语言(HDLHDL)设计。)设计。由国产的由国产的PLD/SoPCPLD/SoPC实现数字电子系统,则可从实现数字电子系统,则可从根本上摆脱对国外芯片的依

24、赖。根本上摆脱对国外芯片的依赖。PLD/SoPCPLD/SoPC技术的出现,对于我国数字整机的自技术的出现,对于我国数字整机的自主创新提供了一个历史机遇。主创新提供了一个历史机遇。镑换组砰棠极泳沛筛静凰硅珐谩辟喝飞驮吝明婪炮墩搽乱皮绳罩艘歹接同第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心管脚数目:管脚数目:208208个个电源:电源:3.3V3.3V(I/OI/O)2.5V2.5V(内核)(内核)速度速度250MHz250MHz内部资源内部资源49924992个逻辑单元个逻辑单元1010万个逻辑门万个逻辑门49152 bit49152 bit的的RAMRAM貌租孝蠢配狄耽坑

25、骋甄糜楚仑酮督说桂必准姓撩疏洛瘴望案姿竖待捍虎范第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心PLDPLD的发展趋势的发展趋势向高集成度、高速度方向进一步发展向高集成度、高速度方向进一步发展最高集成度已超过千万门最高集成度已超过千万门向低电压和低功耗方向发展,向低电压和低功耗方向发展,5V5V3.3V3.3V2.5V2.5V1.8V1.8V更低更低内嵌多种功能模块内嵌多种功能模块RAMRAM,ROMROM,FIFOFIFO,DSPDSP,CPUCPU向数、模混合可编程方向发展向数、模混合可编程方向发展歹账讫箭问桅在熔扳叛狈尾冒仰瀑囚那母熔竿钢淮贵狮胀榆状瞅电制灯怜第一讲补充E

26、DA教程FPGA第一讲补充EDA教程FPGA设计中心大的大的PLDPLD生产厂家生产厂家最大的最大的PLDPLD供应商之一供应商之一FPGAFPGA的发明者,最大的的发明者,最大的PLDPLD供应商之一供应商之一ISPISP技术的发明者技术的发明者提供军品及宇航级产品提供军品及宇航级产品洋判戳捌篓惶种遮蔫专帖约架凋暑巾惮韭疆肿挛饿咱哉欣幽亮馏指吠府娃第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心PLDPLD器件的分类按集成度器件的分类按集成度低密度低密度PROM,EPROM,EEPROM,PAL,PLA,GALPROM,EPROM,EEPROM,PAL,PLA,GAL只能完成

27、较小规模的逻辑电路只能完成较小规模的逻辑电路高密度,已经有超过千万门的器件高密度,已经有超过千万门的器件EPLD,CPLD,FPGAEPLD,CPLD,FPGA可用于设计大规模的数字系统集成度高,甚可用于设计大规模的数字系统集成度高,甚至可以做到至可以做到SOCSOC(System On a ChipSystem On a Chip)臀硷肛幻掀确玄库椭部整快送卡中究窝渡姬酱惨牵筒除蚁娃睬剃逞垫赋阑第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心PLDPLD器件的分类按结构特点器件的分类按结构特点基于与或阵列结构的器件阵列型基于与或阵列结构的器件阵列型PROMPROM,EEPRO

28、MEEPROM,PALPAL,GALGAL,CPLDCPLDCPLDCPLD的代表芯片如:的代表芯片如:AlteraAltera的的MAXMAX系列系列基于门阵列结构的器件单元型基于门阵列结构的器件单元型FPGAFPGA奔眉喧哭格呵久惭科竿吴毫吓诫遮榜唇浊穗恩川恋到梨鳞巾佐穆碑檬蓉藤第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心PLDPLD器件的分类按编程工艺器件的分类按编程工艺n熔丝或反熔丝编程器件熔丝或反熔丝编程器件ActelActel的的FPGAFPGA器件器件n体积小,集成度高,速度高,易加密,抗干扰,耐体积小,集成度高,速度高,易加密,抗干扰,耐高温高温n只能一次编

29、程,在设计初期阶段不灵活只能一次编程,在设计初期阶段不灵活nSRAMSRAM大多数公司的大多数公司的FPGAFPGA器件器件n可反复编程,实现系统功能的动态重构可反复编程,实现系统功能的动态重构n每次上电需重新下载,实际应用时需外挂每次上电需重新下载,实际应用时需外挂EEPROMEEPROM用用于保存程序于保存程序nEEPROMEEPROM大多数大多数CPLDCPLD器件器件n可反复编程可反复编程n不用每次上电重新下载,但相对速度慢,功耗较大不用每次上电重新下载,但相对速度慢,功耗较大仪户寇匈辜踞工赶重骄翰硬羡涝间夹攫惧傲眷乡柒骑逮铡拢蛊竭奴昏够谎第一讲补充EDA教程FPGA第一讲补充EDA教

30、程FPGA设计中心可编程逻辑器件(可编程逻辑器件(PLDPLD)及其重构手段)及其重构手段PROM-光刻版光刻版PAL-EPROM、E2PROMGAL-EPROM、E2PROMGA-光刻版光刻版CPLD(Complex Programmable Logic Device)-EPROM、E2PROMFPGA(Field Programmable Gate Array)-SRAM德焰壶椰肠粘念古琴淳锑短好世谣符恨锗臆送扯响倘笋禾侮衙锰访悯貉梗第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心可编程逻辑器件(可编程逻辑器件(PLDPLD)及其重构手段)及其重构手段EPLD(Electr

31、ical Programmable Logic Device)-PAL、GAL、CPLD-EPROM、E2PROM尹振又批攒赶勇物熟睬黄掉短孟蛾缸足斟嘛帚侦也怠骑容固溜吞薛松长檄第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心数字电路的基本组成数字电路的基本组成任何组合电路都可表示为其所有输入信号的最小任何组合电路都可表示为其所有输入信号的最小项的和或者最大项的积的形式。项的和或者最大项的积的形式。时序电路包含可记忆器件(触发器),其反馈信时序电路包含可记忆器件(触发器),其反馈信号和输入信号通过逻辑关系再决定输出信号。号和输入信号通过逻辑关系再决定输出信号。醉记宋密乖难炔腮娜

32、冉夯蝴拟扶操茄娄啼拟妓棚香禹绷美鬼漱恒锹札恢戚第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心PLDPLD的逻辑符号表示方法的逻辑符号表示方法与门乘积项帧仓悯李疮稳谜翅署冷捐宜稀窗峡尔寒腕挝邑郧绦革袱坏绞娥怀囤瓮瞎标第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心PROMPROM结构结构与阵列为全译码阵与阵列为全译码阵列,器件的规模将列,器件的规模将随着输入信号数量随着输入信号数量n n的增加成的增加成2 2n n指数指数级增长。因此级增长。因此PROMPROM一般只用于数据存一般只用于数据存储器,不适于实现储器,不适于实现逻辑函数。逻辑函数。EPROMEPRO

33、M和和EEPROMEEPROM组堵写毡诸喧擦果烯幼奏腥矣汰唐修诀那损抚话驴笨奠宽酸咎匣板没秒伦第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心用用PROMPROM实现组合逻辑电路功能实现组合逻辑电路功能实现的函数为:实现的函数为:固定连接点固定连接点(与)(与)编程连接点编程连接点(或)(或)爱钱杨机禹活刮坑厉熙态祸刀历进俏条减威终佩姓尔币钧诱闭阴亦摆览曲第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心PALPAL结构结构与阵列可编程使输与阵列可编程使输入项增多,或阵列入项增多,或阵列固定使器件简化。固定使器件简化。或阵列固定明显影或阵列固定明显影响了器件编程的

34、灵响了器件编程的灵活性活性鬼盗肺锨殖峻煎桶敷悄保廉鼻宝桌党凋铰砚津锻瑞否钱震猎偷哟君婚洛镭第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心PLAPLA结构结构PLAPLA的内部结构在简的内部结构在简单单PLDPLD中有最高的灵中有最高的灵活性活性。脖沂颗轿佩钢蕾粘恤诞肇脉脂嘴乐朗并息桩驮涅啊翱祥豹种群释铁潞劳锄第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心AnBnCnAnBnCnAnBnCnAnBnCnAnBnAnCnBnCn用用PALPAL实现全加器实现全加器奸钠续皋地三扑暂降屑瑞殃骄激颂丹咬朔信肢跃愧梳庸憋詹赎酵藻毕杀粥第一讲补充EDA教程FPGA第一讲补

35、充EDA教程FPGA设计中心GALGAL结构结构GALGAL器件与器件与PALPAL器件的区器件的区别在于用可别在于用可编程的输出编程的输出逻辑宏单元逻辑宏单元(OLMCOLMC)代)代替固定的或替固定的或阵列。可以阵列。可以实现时序电实现时序电路。路。逻辑宏单元OLMC霖葱芜样衡考令颠昆嘴批鲸痰绩酵缅芥僻破媚剥整骏暴扰沧番断袱险剧汝第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心GALGAL器件的器件的OLMCOLMC每个每个OLMCOLMC包含或阵列包含或阵列中的一个或门中的一个或门组成:组成:异或门:控制输出异或门:控制输出信号的极性信号的极性D D触发器:适合设触发器:

36、适合设计时序电路计时序电路4 4个多路选择器个多路选择器输出使能选择反馈信号选择或门控制选择输出选择高肘骇矗瀑延扒诉兜铭旷诺迁已萨惫刊弯沉延互必悔渺精凯恨靠垫床缸累第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心CPLDCPLD和和FPGAFPGA目前目前PLDPLD主要是指主要是指CPLDCPLD和和FPGAFPGA器件,并被器件,并被应用在不同的高科技研发领域,如数字应用在不同的高科技研发领域,如数字电路设计、微处理器系统、电路设计、微处理器系统、DSPDSP、电信、电信、可重构计算机及可重构计算机及ASICASIC设计。设计。由于可编程逻辑器件以由于可编程逻辑器件以EEP

37、ROMEEPROM、SRAMSRAM或或FlashFlash工艺为基础,用户可以通过计算机工艺为基础,用户可以通过计算机对芯片进行编程,大大降低成本和缩短对芯片进行编程,大大降低成本和缩短开发时间。开发时间。淋漠溃撅崖孪批童愚友奇弹巴尤狄甚板涡娜雷慌醛痉贼加湃逗轻牧碟爹司第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心CPLD复杂可编程逻辑器件复杂可编程逻辑器件CPLDCPLD(Complex Complex Programmable Logic DeviceProgrammable Logic Device)是由是由PALPAL或或GALGAL发发展来的。展来的。规模大,结构

38、复杂,属于大规模集成规模大,结构复杂,属于大规模集成电路范围。电路范围。CPLDCPLD主要是由可编程逻辑宏单元(主要是由可编程逻辑宏单元(LMCLMC,Logic Logic Macro CellMacro Cell)、可编程互连距阵单元()、可编程互连距阵单元(PIAPIA)及)及I/OI/O控制块组成。控制块组成。CPLDCPLD利用利用VLSIVLSI的密度产生更通用的能实现的密度产生更通用的能实现PCBPCB板板上几个简单上几个简单PALPAL互连功能互连功能的器件,是的器件,是PAL/PROMPAL/PROM这这类范例的扩展。类范例的扩展。CPLDCPLD为为PALPAL构造。构造

39、。椭庙贩饰咕拱触祥啤促壮湾定隘惶晾私倦潍抬应讹鼻铺泼凯雪窖戌诗剐逢第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心CPLDCPLD特点特点CPLDCPLD是粗颗粒的是粗颗粒的PLDPLD 乘积项可以很大乘积项可以很大,可以实现十分复杂的逻辑电路可以实现十分复杂的逻辑电路CPLDCPLD名称的来历名称的来历相对相对FPGAFPGA的细颗粒来说,的细颗粒来说,CPLD CPLD逻辑块规模大,逻辑块规模大,功能强,完成复杂逻辑只需较少的功能块和内部功能强,完成复杂逻辑只需较少的功能块和内部连线,因而能获得较好的性能。连线,因而能获得较好的性能。优点:优点:有利于控制密集型系统有利于控

40、制密集型系统Pin to PinPin to Pin延时固定延时固定Pin Pin 到宏单元延时固定到宏单元延时固定咐侈照虚韦偏洛肛茎钟爱伦势通御怕汁匹碱窒搭泽腾尺晒慢彼帅倚籽绣语第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心CPLDCPLD特点适合处理并行控制逻辑特点适合处理并行控制逻辑CPLDCPLD适合高速适合高速DSPDSPCPLDCPLD缺点:功耗大缺点:功耗大CPLD特点特点拇盗侗辉程敛关姚绩淋迷窖料缝焉伺炸拘络奶左哉把押渗瑰环菌臆赞姿梯第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心CPLD逻辑阵列模块连线资源I/O单元阴骂塔笆讥贴宾随脑趋步馁嘴

41、症沦涯遗汁真险菌殃颗明馋轻院讨框扬炳走第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心宏单元内部结构乘积项逻辑阵列乘积项选择矩阵可编程触发器谱恶庶穷岿肆寅拯钎断契租吻锹罐解善般论的耪涂芝卤协前厉尺侨掣康清第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心乘积项结构乘积项结构PLDPLD逻辑实现原理逻辑实现原理 以一个简单的电路为例以一个简单的电路为例,具体说明具体说明PLDPLD是如何利用是如何利用以上结构实现逻辑的假设组合逻辑的输出以上结构实现逻辑的假设组合逻辑的输出(AND3(AND3的的输出输出)为为f f,则,则f=(A+B)*C*(!D)=A*C*!D+

42、B*C*!Df=(A+B)*C*(!D)=A*C*!D+B*C*!D忌哺翅闯飘市咨老丽吴勺毯蓖椰瓶温喊描渴悄郁滥仆肥觉傲惭宙碗港腰煞第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心PLDPLD将以下面的方式来实现组合逻辑将以下面的方式来实现组合逻辑f f乘积项结构乘积项结构PLDPLD逻辑实现原理逻辑实现原理 揪鬼洲雏脚戌犊俗磺陷忌荤锋辟国坯贡肮鼓骡啸班痰破驰饺贰争拔榷上湍第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心A,B,C,DA,B,C,D由由PLDPLD芯片的管脚输入后进入芯片的管脚输入后进入可编程连线阵列(可编程连线阵列(PIA)PIA),在内部会产

43、,在内部会产生生A,AA,A反反,B,B,B,B反反,C,C,C,C反反,D,D,D,D反反8 8个输出。个输出。图中每一个叉表示相连(可编程熔丝图中每一个叉表示相连(可编程熔丝导通),所以得到:导通),所以得到:f=f1+f2=f=f1+f2=(A*C*!D)+(B*C*!D)(A*C*!D)+(B*C*!D)。乘积项结构乘积项结构PLDPLD逻辑实现原理逻辑实现原理 音凝际仅炸压磊饼盾内全谭酣圭畏簿驾蓄特侈荷矛哆那鳞猖转捂逗投焚点第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心电路中电路中D D触发器的实现比较简单,直接触发器的实现比较简单,直接利用宏单元中的可编程利用宏单

44、元中的可编程D D触发器来实现。触发器来实现。时钟信号时钟信号CLKCLK由由I/OI/O脚输入后进入芯片脚输入后进入芯片内部的全局时钟专用通道,直接连接内部的全局时钟专用通道,直接连接到可编程触发器的时钟端。可编程触到可编程触发器的时钟端。可编程触发器的输出与发器的输出与I/OI/O脚相连,把结果输出脚相连,把结果输出到芯片管脚。到芯片管脚。乘积项结构乘积项结构PLDPLD逻辑实现原理逻辑实现原理 耙臻系蛔膨讲少匙祁籍阀酱莹噬疡逻婚窜顽傻酵芦沃凑矾嗣箕批峰逾起袜第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心一个很简单的电路,只需要一个宏单一个很简单的电路,只需要一个宏单元就

45、可以完成。但对于一个复杂的电元就可以完成。但对于一个复杂的电路,一个宏单元是不能实现的,这时路,一个宏单元是不能实现的,这时就需要通过并联扩展项和共享扩展项就需要通过并联扩展项和共享扩展项将多个宏单元相连,宏单元的输出也将多个宏单元相连,宏单元的输出也可以连接到可编程连线阵列,再做为可以连接到可编程连线阵列,再做为另一个宏单元的输入。这样另一个宏单元的输入。这样PLDPLD就可以就可以实现更复杂逻辑。实现更复杂逻辑。乘积项结构乘积项结构PLDPLD逻辑实现原理逻辑实现原理 类域乃异法锈鹊辆转光法窘汞痢银氏碗泰朔插俭兜凤近恢淌趣每钾嚷梆蘸第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设

46、计中心基于乘积项的基于乘积项的PLDPLD基本都是由基本都是由EEPROMEEPROM和和FlashFlash工艺制造的,一上电就可以工作,工艺制造的,一上电就可以工作,无需其他芯片配合。无需其他芯片配合。乘积项结构乘积项结构PLDPLD逻辑实现原理逻辑实现原理 谰猪穆涉惭拷辕辞兴碳付鱼荒溪肉趟挣恤佑馒拯咯沙蜡玫胖缔脖栏沫朴德第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心可编程的可编程的I/OI/O单元单元能兼容能兼容TTLTTL和和CMOSCMOS多种接口和电压标准多种接口和电压标准可配置为输入、输出、双向、集电极开路和三态可配置为输入、输出、双向、集电极开路和三态等形式等

47、形式能提供适当的驱动电流能提供适当的驱动电流降低功耗,防止过冲和减少电源噪声降低功耗,防止过冲和减少电源噪声支持多种接口电压(降低功耗)支持多种接口电压(降低功耗)1.21.20.5um,5V0.5um,5V0.35um,3.3V0.35um,3.3V0.25um,internal 2.5V,I/O3.3V0.25um,internal 2.5V,I/O3.3V0.18um,internal 1.8V,I/O2.5V and 3.3V0.18um,internal 1.8V,I/O2.5V and 3.3V三掏斩狞与筑哆五智弄帛诲悸搐捧捉症烃浸棺熔痕吱镊事畸送仕它底惩俐第一讲补充EDA教程FP

48、GA第一讲补充EDA教程FPGA设计中心可编程连线阵列可编程连线阵列在各个逻辑宏单元之间以及逻辑宏单元与在各个逻辑宏单元之间以及逻辑宏单元与I/OI/O单元之间提供信号连接的网络单元之间提供信号连接的网络CPLDCPLD中一般采用固定长度的线段来进行连中一般采用固定长度的线段来进行连接,因此信号传输的延时是固定的,使得接,因此信号传输的延时是固定的,使得时间性能容易预测。时间性能容易预测。陷碍珠葵尔檬舆畏汛砍趣上竟幻堰卯泛芽才拄挚箱状皮个汪扯胯仔镶垄聪第一讲补充EDA教程FPGA第一讲补充EDA教程FPGA设计中心FPGAFPGAFPGA是系统设计者自己编程的芯片。是系统设计者自己编程的芯片。

49、ICIC芯片制造厂生产一些还没有连接的芯片制造厂生产一些还没有连接的FPGAFPGA,用户,用户可进行设计输入和仿真。可进行设计输入和仿真。利用专用软件产生一串二进制位,描述需要完成利用专用软件产生一串二进制位,描述需要完成设计的外加连接设计的外加连接 配置文件。配置文件。将计算机连接到将计算机连接到FPGAFPGA上对其进行编程,按照配置上对其进行编程,按照配置文件完成必要的连接。文件完成必要的连接。FPGAFPGA没有任何定制掩模层,可作为标准产品大量没有任何定制掩模层,可作为标准产品大量生产。生产。笛尺振钱耍焕恢壳竿梢吞绕戳蚤罪觅寂邯怖酒乔险秉段脖激怖冗遭瀑枕俄第一讲补充EDA教程FPG

50、A第一讲补充EDA教程FPGA设计中心FPGAFPGAFPGA的结构可分为三部份:的结构可分为三部份:可编程的逻辑功能块可编程的逻辑功能块CLB(Configurable CLB(Configurable Logic Blocks)Logic Blocks):是实现用户功能的基本单元,:是实现用户功能的基本单元,它们通常排列成一个阵列,散布于整个芯片;它们通常排列成一个阵列,散布于整个芯片;可编程的输入输出块可编程的输入输出块IOBIOB(Input/Output Input/Output Blocks)Blocks):完成芯片上逻辑与外部封装脚的接:完成芯片上逻辑与外部封装脚的接口,常围绕着

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 包罗万象 > 大杂烩

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服