收藏 分销(赏)

基于FPGA的多路光纤串口转换系统设计.pdf

上传人:自信****多点 文档编号:1991173 上传时间:2024-05-13 格式:PDF 页数:4 大小:1.28MB
下载 相关 举报
基于FPGA的多路光纤串口转换系统设计.pdf_第1页
第1页 / 共4页
基于FPGA的多路光纤串口转换系统设计.pdf_第2页
第2页 / 共4页
亲,该文档总共4页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

1、总第348期1引言传统导航设备采用RS422串口、CAN、网口等电缆接口,在通信速率、抗干扰能力、通信距离等方面存在许多缺陷,因此当前导航设备需要集成光纤接口实现对外通信功能1。本文为解决传统导航设备电缆接口的不足之处,提出了一种基于FPGA的多路光纤与串口转换系统,采用XC7K325T FPGA 芯片,该芯片集成有 16 路 GTX 收发器。GT(Gigabit Transceiver)是Xilinx系列FPGA中的一种高速串行接口,根据通信线速率不同分为 GTP、GTR、GTX、GTH、GTZ、GTY 等,可用于实现光纤通信中的编码与解码功能2。该系统在不对现有 导 航设备进行重新设计的条

2、件下,实现了导航报文由多路光口到一路 RS422 串口的双向转换3。收稿日期:2022年12月10日,修回日期:2023年1月18日作者简介:程朴,男,高级工程师,研究方向:动态测试技术及信号处理技术,传感器技术及应用等。覃慧玲,女,工程师,研究方向:计量技术及精密仪器,动态测试技术及信号处理技术等。蒲亮,男,工程师,研究方向:光电总体技术、信号处理技术等。基于 FPGA 的多路光纤串口转换系统设计程朴1覃慧玲2蒲亮1(1.华中光电技术研究所-武汉光电国家研究中心武汉430223)(2.武汉船舶通信研究所武汉430205)摘要针对导航设备中光纤通信的需求,论文提出了一种基于FPGA的多路光纤与

3、串口转换方法与系统设计。该系统通过RS422高速串口接收导航设备的数据报文,采用FPGA的GTX收发器编码后同时向3路光纤发送;3路光纤接收到的数据经过解码后,先经过仲裁再通过串口发送到导航设备。测试结果表明,该系统可实现3路光纤与串口的双向转换,其中光纤接口可工作在4.8Gbps或10Gbps下,3路光纤同时接收的报文可以通过串口依次发送不出现混乱或丢失,能够满足导航设备的光纤通信需求。关键词光纤通信;多路仲裁;FPGA中图分类号TN919.85DOI:10.3969/j.issn.1672-9730.2023.06.043Design of Multiple Optical Fiber a

4、nd Serial Port Conversion SystemBased on FPGACHENG Pu1QIN Huiling2PU Liang1(1.Huazhong Institute of Electro-Optics-Wuhan National Laboratory for Optoelectronics,Wuhan430223)(2.Wuhan Maritime Communication Research Institute,Wuhan430205)AbstractIn order to meet the requirement of fiber communication

5、in some type of navigation equipment,this paper presentsa method and system design of conversion between multiple optical fiber and serial port based on FPGA.The system receives the data packet from navigation equipment through RS422 high-speed serial port,and sends it to 3 optical fibers simultaneo

6、usly after encoding by the GTX transceiver of FPGA.The data received by the 3 optical fibers is decoded and then transmitted to the navigationequipment through serial port.The test results show that the system can realize the bidirectional conversion between 3 optical fibersand the serial port.The o

7、ptical interfaces can work at 4.8Gbps or 10Gbps.The packets received simultaneously by the 3 optical fibers can be sent through serial ports in sequence without confusion or loss.The system can meet the optical communication requirement of the navigation equipment.Key Wordsoptical fiber communicatio

8、n,multiple arbitration,FPGAClass NumberTN919.85舰 船 电 子 工 程Ship Electronic Engineering总第 348 期2023 年第 6 期Vol.43 No.6200舰 船 电 子 工 程2023 年第 6 期2硬件设计2.1光纤通信简介本文采用的 XC7K325T 芯片是 Xilinx 推出的Kintex-7系列FPGA中的一种,其内部集成有16路GTX收发器4。Xilinx系列FPGA中通常将GTX收发 器 按 照 Quad 来 划 分,一 个 Quad 由 四 个GTXE2_CHANNEL 原语和一个 GTXE2_CO

9、MMON原语组成,如图1所示。每个GTXE2_CHANNEL由一个channel PLL(CPLL)、一个发送端,和一个接收端 组 成;每 个 GTXE2_COMMON 中 包 含 一 个LC-tank pll(QPLL)。只有在应用程序中使用QPLL时,才需要实例化GTXE2_COMMON。GTX收发器的发送端和接收端功能是相互独立,都是由Physical Media Attachment(物理媒介适配层 PMA)和Physical Coding Sublayer(物理编码子层PCS)组成5。PMA内部集成了串并转换(PISO)、预加重、接收均衡、时钟发生器和时钟恢复等;PCS内部集成了8b

10、/10b 编解码、弹性缓冲区、通道绑定和时钟修正等6。Xilinx的 GTX 收发器可极大地减小时钟扭曲、信号衰减和线路噪声对接收性能的影响,从而使传输速率进一步提高,可用于实现万兆以太网、PCI-Express、SRIO、SFP等常用接口。IBUFDS_GTE2IBUFDS_GTE2REFCLKDistributionQPLLCPLLCPLLTXRXTXRXGTXE2_COMMONGTXE2_CHANNELGTXE2_CHANNELTXRXTXRXCPLLCPLLGTXE2_CHANNELGTXE2_CHANNEL图1GTX收发器在光纤通信中,线路编码是必要的,因为电端机输出的数字信号是适合

11、电缆传输的双极性码,而光源不能发射负脉冲,只能用光脉冲的“有”和“无”来表示二进制码中的“1”和“07。8B/10B编码是1983 年由 IBM 公司的 Al Widmer 和 PeterFranaszek所提出的数据传输编码标准,目前已经被广泛应用到高速串行总线,如 IEEE1394b、SATA、PCI-Express、Infini-band、FiberChannel、XAUI、RapidIO、USB 3.0等8。本文光纤通信接口采用了 8B/10B编码,将待发送的8位数据转换成10位代码组,其目的是保证直流平衡,以及足够密集的电平转换9。8B/10B编码方法是把 8bit代码组合编码成 1

12、0bit代码,代码组合包含256个数据字符编码和12个控制字符编码,分别记为Dx.y和Kx.y,如图2所示。本文采用的 控 制 字 符 有 帧 头 K28.1(0 x3C)和 帧 尾 K28.4(0 x9C)。code groupor8b10bMSBMSBMSBLSBLSBHGFEDCBAabcdeifghj图28B/10B编码方案2.2系统硬件设计本系统采用XC7K325T芯片,包含RS422串口收发器、DDR3内存、光纤收发器、晶振等,如图3所示。150M差分晶振和156.25M差分晶振分别输入到GTX模块的两个专用外部参考时钟引脚对,结合CPLL和QPLL生成不同的收发时钟10。50M晶

13、振输入到FPGA的全局时钟引脚,作为FPGA逻辑部分的时钟源。DDR3 内存用于缓存收发数据,RS422 串口收发器将 RS422 电平信号转换为 TTL电平信号,光纤收发器将CML电平信号转换为光信号11。图3系统硬件结构框图3软件设计本系统采用Verilog HDL编码进行逻辑设计,系统主要包括串口接收到光口发送、光口接收到串口发送两条路径,这两条路径相互独立,如图4所示。201总第348期首先,串口接收模块对串口发送过来的数据进行解码,然后同时发送到 3个接收 FIFO进行跨时钟域数据传输,再由BRAM进行缓存,当一帧数据接收完毕后再由光口编码模块将BRAM中缓存的数据加上帧头帧尾和校验

14、进行编码,并通过 GTX模块进行8B/10B编码进行发送12。同样,光口接收到的数据由 GTX模块进行时钟恢复和8B/10B解码,光口解码模块识别到帧头后将数据依次缓存到BRAM中并进行校验,当一帧数据接收完毕后发送到输出FIFO进行跨时钟域数据传输,然后经过多路仲裁后输出到发送FIFO,再由串口发送模块将发送FIFO中的数据依次编码发送13。3.1串口接收方案设计本系统的关键设计之一是串口接收方案,由于串口通信速率远低于光口速率,因此需要对串口数据的帧头与帧尾进行识别,并将串口接收的数据缓存起来,直到接收完一帧数据再发送出去14。由于串口没有固定的帧头和帧尾字符,因此本文采用空闲帧的方法判断

15、串口的帧尾,即当串口接收完一个字节后,若在一帧(10个波特周期)的时间内没有接收到起始位(串口保持高电平),则认为接收到一个空闲帧,如图5所示。图 4 中接收 FIFO 位宽设置为 10 位,将串口 8位数据添加两位用于表示帧头帧尾的标志位,当串口由空闲状态接收到第一个字节时,将该数据标记为帧头,当串口接收到空闲帧时,向接收FIFO插入一个单独的帧尾,这样就能保证光口的一帧报文中的数据是完整的15。接收 FIFO 的实例化代码如下:fifo_10b_4096 fifo0(.rst(gt0_tx_rst|uart_rst),.wr_clk(clk_uart),.din(uart_rx_stat,

16、uart_rx_data),/增加2位标志位.wr_en(fifo0_wr_en),.rd_clk(gt0_txusrClk),图4系统软件结构框图图5串口接收波形串口接收串口发送空闲帧程朴等:基于FPGA的多路光纤串口转换系统设计202舰 船 电 子 工 程2023 年第 6 期.rd_en(fifo0_rd_en),.dout(fifo0_data),.empty(fifo0_rd_empty);3.2多路仲裁器设计本系统的另一关键设计之一是多路仲裁器,保证了高速的多路光口数据向低速的串口发送时不会出现混乱或丢失16,当3路光口在同一时刻接收到报文时,多路仲裁器会优先使能光口1向串口输出,

17、其它光口的数据被缓存,当光口1的一帧报文发送完成时,多路仲裁器被释放,然后再发送光口2缓存的数据,以此类推。多路仲裁器的Verilog编码如下:always(posedge clk_uart)beginif(gt0_rx_rst|gt1_rx_rst|gt2_rx_rst|uart_rst)begincts=3b0;endelse beginif(3b0=cts)beginif(gt0_rts)cts=3b001;if(gt1_rts)cts=3b010;if(gt2_rts)cts=3b100;endelse beginif(gt0_rts)cts0=0;if(gt1_rts)cts1=0;

18、if(gt2_rts)cts2=0;endendend4系统测试本系统在某型导航设备中进行了试验验证,测试结果如表1所示,该系统可实现3路光纤与串口的双向转换,其中光纤接口可工作在 4.8Gbps 或10Gbps下,3路光纤同时接收的报文可以通过串口依次发送不出现混乱或丢失,能够满足导航设备的光纤通信需求。表1测试结果测试项目4.8G回环测试4.8G互发测试10G回环测试10G互发测试测试结果无误码或丢包无误码或丢包无误码或丢包无误码或丢包5结语本文为解决传统导航设备采用电缆接口在数据传输上的不足之处,提出了一种基于FPGA的多路光纤与串口转换系统,模块化的设计使得该系统可在不改变现有导航设备

19、的条件下,将导航设备串口输出的导航报文转换为多路光口数据报文,实现导航设备光口对外通信功能。通过试验验证,本系统工作可靠,体积小、功耗低,满足导航设备接口多样化需求,通过光纤通信可有效提高导航设备的通信速率、抗干扰能力和通信距离。参 考 文 献1许义宝,胡永兵,陈庆然.基于FPGA的多节点光纤传输系统设计与实现 J.计算机技术与发展,2018,28(3):197-200.2沙启迪.基于FPGA的光纤通信数据传输技术研究 D.哈尔滨:哈尔滨工业大学,2015.3吴志军.基于 XC7K325T+TMS320C6678 的高速图像处理系统设计与实现 J.无线互联科技,2021(3):51-52.4严

20、飞,刘银萍,刘文娟.基于FPGA高速收发器的光纤视频传输系统设计 J.电子科技,2018,31(6):56-58,66.5孔文青,李紫航,宋万杰.多路光纤传输中的数据处理与时序控制研究 J.电子科技,2018,31(5):1-4,15.6马向玲,杨辉,王海玲,等.基于FPGA的多路数据光纤传输系统设计与实现 J.计算机测量与控制,2011,19(2):363-366.7杜建华,瞿海娜.基于光纤通道的数据采集系统设计J.电子技术设计与应用,2013(6):41-43.8Davis C C,Murphy T E.Fiber-optic communicationsinthe spotlightJ.

21、IEEE Signal Processing Magazine,2011,28(4):150-152.9袁俊杰,胡天宇.基于FPGA的多传感器集成光纤传输系统 J.仪表技术与传感器,2015(3):43-46.10白金成.基于FPGA的多路Cameralink数字图像光纤传输系统 J.液晶与现实,2019,34(8):787-792.11史韶丰,刘继.基于FPGA的多路光纤数据传输系统设计与研究 J.微处理机,2014(2):15-17.12牛戴楠,史俊宏,方振发.基于FPGA的多路光纤数据同步技术 J.雷达与对抗,2014,34(4):39-41.13廖加文.基于FPGA的高清视频光纤传输系统的研究与实现 D.西安:中国科学院西安光学精密机械研究所,2013.14李亮.基于FPGA的高速光纤通信数据传输技术的研究与实现 D.长春:吉林大学,2017.15高进,杨鹏毅,陆兆辉,等.基于FPGA的双向多路信号光纤传输组件设计 J.电子技术,2014,34(4):39-41.16黎凡,吴学铜,王朝曦.基于FPGA接口的光纤反射内存卡设计与实现 J.光学与光电技术,2016,14(1):38-41.203

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 学术论文 > 论文指导/设计

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服