收藏 分销(赏)

基于Verilog的FPGA的电子密码锁的设计.doc

上传人:1587****927 文档编号:1283195 上传时间:2024-04-20 格式:DOC 页数:16 大小:627KB
下载 相关 举报
基于Verilog的FPGA的电子密码锁的设计.doc_第1页
第1页 / 共16页
基于Verilog的FPGA的电子密码锁的设计.doc_第2页
第2页 / 共16页
基于Verilog的FPGA的电子密码锁的设计.doc_第3页
第3页 / 共16页
基于Verilog的FPGA的电子密码锁的设计.doc_第4页
第4页 / 共16页
基于Verilog的FPGA的电子密码锁的设计.doc_第5页
第5页 / 共16页
点击查看更多>>
资源描述

1、基于FPGA的电子密码锁的设计报告摘要:基于FPGA设计的电子密码锁是一个小型的数字系统,与普通机械锁相比,具有许多独特的优点:保密性好,防盗性强,可以不用钥匙,记住密码即可开锁等。目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件。在实际应用中,程序容易跑飞,系统的可靠性较差。本文介绍的一种基于现场可编辑门阵列FPGA器件的电子密码锁的设计方法,采用VHDL语言对系统进行描述,并在EP3C10E144C8上实现。 通过仿真调试,利用可编程逻辑器件FPGA的电子密码锁的设计基本达到了预期目的。当然,该系统在一些细节的设计上还需要不断地完善和改进,特别是对系统的扩展有很好的使用系统和

2、设计的价值。关键词:现场可编程门阵列;VHDL语言;电子密码锁The Report Of Electronic Code Lock DesignAbstract:FPGA-based design of the electronic code lock is a small digital system. It has many unique advantages:good privacy and security , it do not need the key but remember password to unlock, and so on while it compare to or

3、dinary mechanical locks. At present, the electronic code lock is most used of SCM technology .In practice, however, the process easy run to fly. So the reliability of this system is poor. The paper introduced a field programmable gate arrays FPGA devices to design electronic password lock. The VHDL

4、language is used to describe the system and achieved in EP3C10E144C8. Though the simulation tests, using FPGA-based design of the electronic code lock can achieve the expected goal. Of course, some of the details of the system in the design of the need to constantly refined and improved, in particul

5、ar the expansion of the system have a good design and practical value.Keyword: FPGA; VHDL language; electronic password lock一、设计内容与要求1 设计一个密码锁,密码为一个4位的十进制数,密码固化在锁内 2 用户输入密码正确,则开锁(试验箱D7 LED灯亮);若不正确,则报警(试验箱D0 LED灯亮) 3 若用户输入密码不正确,可以按复位键重新输入密码。 二、设计思想2.1 系统原理框图本系统由主控芯片(FPGA),键盘,显示电路,报警电路和开/关门电路组成,而主控芯片又

6、可分为按键处理部分,控制部分和译码显示部分。系统原理框图如图2.1所示:键盘按键处理主控部分译码显示显示开/关门电路报警电路FPGA图2.1 系统框图2.2 总体实现原理本系统有8个按键,K0,K1,K2,K3,K4,K5代表数字0-9共10个数字和1个确认键,1个复位键。密码长度为四位,并且固化在锁内,输入正确密码后,按确认键即可开门,本系统设置为LED D7灯亮。在输入密码的过程中,当用户键入错误密码时,报警灯LED D0灯亮。按下复位键,可使报警停止,同时清除所有密码显示。三、芯片主控设计3.1 FPGA有限状态机本设计是通过FPGA有限状态机来实现,设计有限状态机最开始的工作时要确定电

7、路,包括哪些状态,比如某个电路包括四个状态,S0,S1,S2,S3。然后对所有状态给出一个状态编码,比如为状态S0赋予编码00,为状态S1赋予编码01,为状态S2赋予编码10,为状态S3赋予编码11。状态编码是状态的标识,保存在寄存器当中,对于此编码形式,只需一个2位的寄存器就可以了。FSM Encoding Style 主要有:Binary Encoding One Hot Encoding Gray Encoding 二进制与一位热码的特性比较:表3.1 二进制与一位热码的特性比较状态机可以认为是组合逻辑和寄存器逻辑的特殊租户,它一般包括两个部分:组合逻辑部分和寄存器逻辑部分。寄存器用于存

8、储状态,组合电路用于状态译码和产生输出信号。状态机的下一个状态及输出,不仅与输入信号有关,而且还有寄存器当前所处的状态有关。根据输出信号产生方法的不同,状态机可以分成两类:Mealy型和Moore型。Moore型状态机的输出只是当前状态的函数,而Moore型状态机的输出只是当前状态的函数,而Mealy型状态机的输出则是当前状态和当前输入状态的函数。其原理如下两图:图3.1Mealy型状态机输出原理图3.2 Moore型状态机输出原理3.2设计流程本次密码锁的设计,有限状态机应该包括以下状态:密码为输入前的等待状态、输入密码时的等待状态、输入密码正确时的通过状态、输入密码错误时的警报状态。图3.

9、3 主有效状态机的状态转换图其中当密码输入时又可包括以下状态,正常输入状态、异常输入状态(包括命令状态)、输入确认状态。下面的图(图是在程序编译后,tools-Netlist_Vewers-RTL Vewer得到的)表示了密码输入的时候的次状态机,表示了4个密码输入的顺序状态,以及输入完成后的等待确认状态。图3.4次有效状态机的状态转换3.3状态编码状态编码主要有二进制编码、格雷编码和一位独热编码等方式。格雷编码时,相邻状态每次只有一个比特位产生变化,这样减少了瞬变的次数,也减少了产生毛刺和一些状态的可能。采用一位独热编码,虽然多用了触发器,当可以有效节省和简化组合电路。对于寄存器数量多而逻辑

10、相对缺乏的FPGA器件来说,采用一位独热编码可以有效提高电路的速度和可靠性,也有利于提高器件资源的利用率。将产生状态的组合逻辑电路和用于保存状态的寄存器分别写在不同的always块中。其中主要包括:输出控制部分、警报计时部分、锁打开后的计时部分、比较密码部分、记录密码部分和记录错误次数的部分3.4密码的输入本次密码锁的密码输入采用FPGA芯片上的8位单个按键,考虑到按键数目不够,采用了一位按键作为功能转换按键;即前5位按键输入04,同时按下功能转换按键时,按键04即转换为按键59,这就弥补了按键数目的不足。最后两位按键设定为确认输入按键和复位按键。密码输入完成后可以按确认键检验密码的正误,报警

11、、输入错误或者其他情况可以按复位按键重新输入。另外由于按键的时候同时会引起状态机的转换,所以如果按键的时候对按键判断次数过多会产生状态的过快转换,记录的密码和数码管的显示就同时会出现错误,因此在按键部分加入了消除多重按键的程序,只检测一次按键的下降沿,解决了这个问题。3.5 密码记录与比较程序设定了一个寄存器用来记录输入的密码。当次有效状态机(即密码输入的状态机)发生转换并且有密码输入时,程序会记录下输入的密码在寄存器的其中4位里面,最后次有效状态转换到确认密码的状态时,会将记录下的密码与固化在锁内的密码进行对比,正确即将主状态机转换到通过阶段,错误则将状态机转换到报警阶段。其中正确错误的状态

12、转换是通过控制相应的标志位实现的。3.6密码的显示密码显示采用数码管动态扫描显示,初始时显示密码为4位0,当输入密码后数码管的第一位、第二位、第三位、第四位会依次显示输入的密码,错误后复位可以重新输入。密码显示采用的是记录密码的寄存器的数据,显示扫描的扫描时间设置为1ms左右,这样显示不会出现闪烁或者残影。四、程序仿真下前面的输入cmd的编码:/输入的数字编码 09,enter,cancelone=4b0001, two=4b0010,three=4b0011,four=4b0100,five=4b0101,six=4b0110,seven=4b0111,eight=4b1000,nine=4

13、b1001,zero=4b1000,enter=4b1010,cancel=4b1011; 可以看到,在复位以后,输入第1,2,3,4个密码(依次为1111)后,passed变成高电平当过了一定的时间后,passed变成低电平,重新计入键盘读入值,进行下一轮的密码辨别。五、程序清单module passwd_lock(clk0,passed,one1,two1,three1,four1,zero1,change,yes, resetb, seg, dig);input one1,two1,three1,four1,zero1,change;reg zero,one,two,three,four

14、;input yes; inputresetb;/输入复位信号inputclk0;/输入时钟信号output7:0passed;/输出信号output 7:0 seg;/段选output 7:0 dig;/位选reg 3:0 key;reg RXBuf0,RXBuf1,RXBuf2,RXBuf3,RXBuf4;/displayreg clk0_div;reg 2:0 digyi;/yiwei/reg 7:0 dig;/weixuanreg 3:0 seg0;/duanxuanreg 7:0 seg;reg 12:0 CNT_R0;reg 18:0 CNT_R1;reg clk1;reg 21:

15、0 CNT_R2;reg clk2;reg7:0passed;/*输入与输出的声明部分,其中,clk0为输入的时钟信号,resetb为密码舒服的输入信号,key为输入命令,需注意的时,key并不是总在表示密码,也表示密码的间隔,如当输入4位密码后需要一个确认“enter”信号,当密码输入错误时,需要取消“cancel”信号,这些信号之间在设计中通过有限状态转换机实现。*/parameterPASSWORD=16b0001000100010001;/盛放密码的参数reg15:0password;/输入数值盛放寄存器/输入的数字编码always ( posedge clk1 ) begin/检测线

16、路的下降沿RXBuf1 = one1;one = (RXBuf1 & ( one1 );/RXFall1=RXFall;end/消除多重按键always ( posedge clk1 ) begin/检测线路的下降沿RXBuf0 = zero1;zero = (RXBuf0 & ( zero1 );/RXFall1=RXFall;end/消除多重按键always ( posedge clk1 ) begin/检测线路的下降沿RXBuf2 = two1;two = (RXBuf2 & ( two1 );/RXFall1=RXFall;end/消除多重按always ( posedge clk1

17、) begin/检测线路的下降沿RXBuf3 = three1;three = (RXBuf3 & ( three1 );/RXFall1=RXFall;end/消除多重按键always ( posedge clk1 ) begin/检测线路的下降沿RXBuf4 = four1;four = (RXBuf4 & ( four1 );/RXFall1=RXFall;end/消除多重按键reg2:0main_state;/主状态reg2:0next_state;/下一个状态/主有限状态转换机的三个状态:waits、pass、alarmparameterwaits=3b001,pass=3b010,

18、alarm=3b100;reg2:0sub_state;reg2:0next_sub_state; /主有限状态转换机的三个状态:first、second、third、fourth、finish。parameterfirst=3b000,second=3b001,third=3b010,fourth=3b011,finish=3b100;/通过计时寄存器reg7:0pass_count;/警报计时寄存器reg10:0alarm_count;/尝试次数寄存器reg1:0try_count;/输入状态寄存器:error和correctregerror;regcorrect;/以上为中间状态的一些寄

19、存器和一些所用到的参数/主机状态机部分always (posedge clk0)begin CNT_R2 = CNT_R2 + 1b1; if(CNT_R2 4000000) begin clk1 = 1; end else begin clk1 = 0; endendalways(main_state or correct or error)begincase(main_state)waits:if(correct=1) /由waits转换到pass的条件next_state=pass;else if(error=1&try_count=1)next_state=alarm; /由waits

20、转换到alarm的条件elsenext_state=waits;pass:if(pass_count7=1)/由pass转换到waits的条件next_state=waits;elsenext_state=pass;alarm:if(alarm_count10=1)/ 由alarm转换到waits的条件next_state=waits;elsenext_state=alarm;default:/默认状态:waitsnext_state=waits;endcaseend/状态转换always(posedge clk1 or negedge resetb)beginif(!resetb)main_

21、state=waits;elsemain_state=next_state;end/输出控制部分always(posedge clk1 or negedge resetb)beginif(!resetb)/复位时,开锁输出与警报输出都为零beginpassed=8b00000000;endelse if(main_state=pass)/当主机状态为pass时,开锁beginpassed=8b10000000;endelse if(main_state=alarm)/当主机状态为alarm时,警报beginpassed=8b00000001;endelse/其它状态复位beginpassed=

22、8b00000000;endend/alarm一段时间后,自动进入waits状态/alarm定时器always(posedge clk1 or negedge resetb)beginif(!resetb)alarm_count=0;else if(main_state=alarm)/alarm状态计时器alarm定时器加1alarm_count=alarm_count+1;elsealarm_count=0;end/锁pass以后计数开始,当规定的时间到达后自动上锁,并进入waits状态/pass定时器always(posedge clk1 or negedge resetb)beginif

23、(!resetb)pass_count=0;else if(main_state=pass) /pass状态计时器pass定时器加1pass_count=pass_count+1;elsepass_count=0;end/从状态机,用于输入4位密码always(posedge clk1 or negedge resetb)beginif(!resetb)sub_state=first;elsesub_state=next_sub_state;endalways(!zero|!one|!two|!three|!four|!yes or sub_state)/always(key or sub_s

24、tate)beginif(key_pressed_flag|!yes)if(!yes)/4个密码输完时,进行确认next_sub_state=first;/default为输入了某位密码,输入完自动将状态转入下一位elseif(!zero|!one|!two|!three|!four)case(sub_state)first:next_sub_state=second;second:next_sub_state=third;third:next_sub_state=fourth;fourth:next_sub_state=finish;/当输入完4位密码以后状态保持不变,等待输入enter命/

25、令finish:next_sub_state=finish;default: next_sub_state=sub_state;endcaseelsenext_sub_state=sub_state;end/比较密码,产生正确或者错误信息always(posedge clk1 or negedge resetb)beginif(!resetb)begincorrect=0;error=0;endelse if(!key_pressed_flag&!yes)if(password=PASSWORD)/密码正确时begincorrect=1;error=0;endelse/密码错误时beginer

26、ror=1;correct=0;endelsebegincorrect=0;error=0;endend/记录密码always(posedge clk1 or negedge resetb)beginif(!resetb)password=0;else if(!zero|!one|!two|!three|!four)case(sub_state)first:password15:12=key;second:password11:8=key;third:password7:4=key;fourth:password3:0=key;default:password=password;endcase

27、elsepassword=password;end/记录错误次数always(posedge clk1 or negedge resetb)beginif(!resetb)try_count=0;else if(error=1)try_count=try_count+1;else if(main_state=pass|main_state=alarm)try_count=0;end reg key_pressed_flag; / 键盘按下标志 always(posedge clk1 or negedge resetb) begin if(!resetb) begin key_pressed_f

28、lag=0; key=4b0000; end else if(!zero&change) begin key_pressed_flag=1;key=4b0000; end else if(!one&change) begin key_pressed_flag=1;key=4b0001; end else if(!two&change) begin key_pressed_flag=1;key=4b0010; end else if(!three&change) begin key_pressed_flag=1;key=4b0011; end else if(!four&change) begi

29、n key_pressed_flag=1;key=4b0100; end else if(!zero&!change) begin key_pressed_flag=1;key=4b0101; end else if(!one&!change) begin key_pressed_flag=1;key=4b0110; end else if(!two&!change) begin key_pressed_flag=1;key=4b0111; end else if(!three&!change) begin key_pressed_flag=1;key=4b1000; end else if(

30、!four&!change) begin key_pressed_flag=1;key=4b1001; end else if(!yes)begin key_pressed_flag=0;key=4b1010; endend/diaplayalways (posedge clk0)begin CNT_R0 = CNT_R0 + 1b1; if(CNT_R0 4096) begin clk0_div = 1; end else begin clk0_div = 0; endendalways (posedge clk0_div)beginif(digyi=3d3)begindigyi=0;end

31、elsebegindigyi=digyi+1;endend /yima/always (digyi)begincase(digyi)3b000: dig=8b11111110;3b001: dig=8b11111101; 3b010: dig=8b11111011; 3b011: dig=8b11110111; endcaseend/xuanshu/always (digyi)begincase(digyi)3b000: seg0=password15:12;3b001: seg0=password11:8;3b010: seg0=password7:4;3b011: seg0=passwor

32、d3:0;endcaseend/seg yima/always (seg0)begincase(seg0)4b0000 : seg=7b0111111; /04b0001 : seg=7b0000110; /14b0010 : seg=7b1011011; /24b0011 : seg=7b1001111; /34b0100 : seg=7b1100110; /44b0101 : seg=7b1101101; /54b0110 : seg=7b1111101; /64b0111 : seg=7b0000111; /74b1000 : seg=7b1111111; /84b1001 : seg=7b1101111; /9endcaseendendmodule

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 包罗万象 > 大杂烩

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服