收藏 分销(赏)

八路彩灯控制程序.doc

上传人:仙人****88 文档编号:11800263 上传时间:2025-08-13 格式:DOC 页数:9 大小:366.04KB 下载积分:10 金币
下载 相关 举报
八路彩灯控制程序.doc_第1页
第1页 / 共9页
八路彩灯控制程序.doc_第2页
第2页 / 共9页


点击查看更多>>
资源描述
FPGA课程设计 ——8路彩灯控制程序 2013年12月12日 8路彩灯控制程序 一、设计任务 1.设计目的: (1)了解及掌握时序电路及组合电路的基本结构常用数字电路 (2)通过ModelSim软件编写组合电路与时序电路混合的程序进行仿真和调试。 (3)74LS194 移位寄存器和74LS161 计数器的使用。 2.设计内容: 编写一个8路彩灯控制程序,要求彩灯有以下3种演示花型。 (1)8路彩灯同时亮灭; (2)从左至右逐个亮(每次只有1路亮); (3)8路彩灯每次4路亮,4路灯灭,且灯灭相同,交替亮灭; 在演示过程中,只有当一种花型演示完毕才能转向其他演示花型。 二、 设计方案论证 74LS161 是四位二进制同步加数器,74LS194 是一个 4 位双向移位寄存器,它具有左移,右移,保持,清零等逻 辑功能,八路彩灯控制器通过利用双向移位寄存器 74LS194 的串行输入, 个并行输 8 出端控制彩灯;双向移位寄存器 74LS194 的控制端 S1=0,S0=1 时,进行右移; S1=1,S0=0 时, 进行左移; 十六位计数器 74LS161 可以从 0000 到 1111 进行计数. 利用十六位计数器 74LS161 的功能实现自动循环. (1)8路彩灯同时亮灭: 11111111 00000000 11111111 (2)从左至右逐个亮(每次只有1路亮); 11111111 01111111 10111111 11011111 11101111 11110111 11111011 11111101 11111110 (3)8路彩灯每次4路亮,4路灯灭,且灯灭相同,交替亮灭 11111111 00001111 11110000 三、结构及其工作原理 1.结构框图: 2.电路的原理图: 3.电路工作原理: 74LS161 是四位二进制同步加数器, 74LS194 是一个 4 位双向移位寄存器,它具有左移,右移,保持,清零等逻 辑功能, 八路彩灯控制器通过利用双向移位寄存器 74LS194 的串行输入, 个并行输 8 出端控制彩灯;双向移位寄存器 74LS194 的控制端 S1=0,S0=1 时,进行右移; S1=1,S0=0 时, 进行左移; 十六位计数器 74LS161 可以从 0000 到 1111 进行计数. 利用十六位计数器 74LS161 的功能实现自动循环. 四、主要元件 1.数字电路实验箱 1 台 2.74LS161 芯片 1 片 3.74LS194 芯片 2 片 4.导线若干 五、仿真过程及结果 新建工程及文件,分别添加设计程序及测试程序,进行编译及纠错,编译通过后运行程序仿真进行调试得出结果。 设计模块: module caideng(clk,ledout,reset); input reset,clk; output[7:0] ledout; integer i; reg[7:0] ledout; reg[2:0] count; reg[4:0] count2; reg clkflag; reg[1:0] in; always @(posedge clk) begin if(!reset) count<=0; else if(count<=3) begin clkflag<=0; count<=count+1; end else if(count<7) begin clkflag<=1; count<=count+1; end else if(count==7) begin clkflag<=1; count<=0; end else begin clkflag<=1; count<=count+1; end end always @(posedge clk) begin if(!reset) count2<=0; else if(count2<=7) begin in<=2'b00; count2<=count2+1; end else if(count2<=15) begin in<=2'b01; count2<=count2+1; end else if(count2<23) begin in<=2'b10; count2<=count2+1; end else if(count2==23) begin in<=2'b10; count2<=0; end else begin in<=2'bZZ; count2<=0; end end always@(clkflag or count or in or reset) if(!reset) ledout<=8'h00; else begin case(in) 2'b00: if(clkflag) ledout=8'hFF; else ledout=8'h00; 2'b01: case(count) 'h0:ledout=8'h80; 'h1:ledout=8'h40; 'h2:ledout=8'h20; 'h3:ledout=8'h10; 'h4:ledout=8'h08; 'h5:ledout=8'h04; 'h6:ledout=8'h02; 'h7:ledout=8'h01; default:ledout=8'h00; endcase 2'b10: if(clkflag) ledout=8'hAA; else ledout=8'h55; default: ledout=8'h00; endcase end endmodule 六、电路安装与调试 测试模块: `timescale 1ns/1ns module testbench; reg clk,reset; wire [7:0] ledout; caideng led_inst(clk,ledout,reset); initial begin reset=1'b1; #10 reset=1'b0; #40 reset=1'b1; end initial begin clk=1'b0; forever #10 clk=~clk; end Endmodule 七、 课程设计体会 通过整个电路设计与制作的整个过程, 掌握了 组装与调试 方法. 熟悉了中,小规模集成电路的使用. 通过理论与实践的结合,进一步深入的体会到一种学习的方法,特别是对与 电子设计方面.首先要明确总体的设计方案与方法;其次是对各个部分进行设计 与改进;最后将各个部分整合在一起进行比较,观察. 在流水灯实验设计当中遇到的首要问题有三个:一是电路的总体设计问题; 二是电路的焊接问题;三是电路的调试问题.基于所学数字电路知识的局限性, 在选择元器件方面有所困难,开始无从下手应该确定使用何种元件.通过查找资 料等过程首先确定了元件,从而确定了总电路图.由于初次进行焊接工作,所以 在电路焊接的时候造成了许多虚焊,导致电路无法正常运行.加重了电路调试的 作业量. 总的来说,流水灯的课程设计有利于培养我们对电子设计的兴趣,是一次很 好的理论与实际的结合,希望能有更多机会进行这些课程设计. 八、 参考文献 [1]《数字逻辑与数字统计》 (第三版),王永军,李景华,电子工业出版社. [2]《电子技术实验与课程设计》 (第二版),毕满清,机械工业出版社. [3]《数字逻辑电路学习与实训指导》,梅开乡,电子工业出版社.
展开阅读全文

开通  VIP会员、SVIP会员  优惠大
下载10份以上建议开通VIP会员
下载20份以上建议开通SVIP会员


开通VIP      成为共赢上传

当前位置:首页 > 包罗万象 > 大杂烩

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        抽奖活动

©2010-2025 宁波自信网络信息技术有限公司  版权所有

客服电话:0574-28810668  投诉电话:18658249818

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :微信公众号    抖音    微博    LOFTER 

客服