ImageVerifierCode 换一换
格式:DOCX , 页数:7 ,大小:147KB ,
资源ID:4515750      下载积分:5 金币
验证码下载
登录下载
邮箱/手机:
验证码: 获取验证码
温馨提示:
支付成功后,系统会自动生成账号(用户名为邮箱或者手机号,密码是验证码),方便下次登录下载和查询订单;
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

开通VIP
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.zixin.com.cn/docdown/4515750.html】到电脑端继续下载(重复下载【60天内】不扣币)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  
声明  |  会员权益     获赠5币     写作写作

1、填表:    下载求助     索取发票    退款申请
2、咨信平台为文档C2C交易模式,即用户上传的文档直接被用户下载,收益归上传人(含作者)所有;本站仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。所展示的作品文档包括内容和图片全部来源于网络用户和作者上传投稿,我们不确定上传用户享有完全著作权,根据《信息网络传播权保护条例》,如果侵犯了您的版权、权益或隐私,请联系我们,核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
3、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据,平台无法对文档的真实性、完整性、权威性、准确性、专业性及其观点立场做任何保证或承诺,下载前须认真查看,确认无误后再购买,务必慎重购买;若有违法违纪将进行移交司法处理,若涉侵权平台将进行基本处罚并下架。
4、本站所有内容均由用户上传,付费前请自行鉴别,如您付费,意味着您已接受本站规则且自行承担风险,本站不进行额外附加服务,虚拟产品一经售出概不退款(未进行购买下载可退充值款),文档一经付费(服务费)、不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
5、如你看到网页展示的文档有www.zixin.com.cn水印,是因预览和防盗链等技术需要对页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有水印标识(原文档上传前个别存留的除外),下载后原文更清晰;试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓;PPT和DOC文档可被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;PDF文档不管是原文档转换或图片扫描而得,本站不作要求视为允许,下载前自行私信或留言给上传者【二***】。
6、本文档所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用;网站提供的党政主题相关内容(国旗、国徽、党徽--等)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
7、本文档遇到问题,请及时私信或留言给本站上传会员【二***】,需本站解决可联系【 微信客服】、【 QQ客服】,若有其他问题请点击或扫码反馈【 服务填表】;文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“【 版权申诉】”(推荐),意见反馈和侵权处理邮箱:1219186828@qq.com;也可以拔打客服电话:4008-655-100;投诉/维权电话:4009-655-100。

注意事项

本文(数字电子线路基础:3-3基于FPGA的数码管显示控制与LED点阵控制.docx)为本站上传会员【二***】主动上传,咨信网仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知咨信网(发送邮件至1219186828@qq.com、拔打电话4008-655-100或【 微信客服】、【 QQ客服】),核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载【60天内】不扣币。 服务填表

数字电子线路基础:3-3基于FPGA的数码管显示控制与LED点阵控制.docx

1、3.3 基于FPGA的数码管显示控制与LED点阵控制1 实验目的(1)通过实验进一步学习Quartus II软件的使用方法。(2)通过实验学习数码管显示控制的基本原理。(3)通过实验学习LED点阵显示控制的基本原理。2 实验仪器设备(1)FPGA开发实验箱。(2)数字万用表。(3)电脑。3 预习(1)复习FPGA开发有关的流程。(2)复习Verilog HDL语言语法。(3)复习实验所用的相关原理。(4)按要求编写实验中要求的硬件描述语言程序。4 实验原理(1)数码管。数码管是多个LED灯的集合,显示译码器的输入是二进制数,输出是对应的十进制的字符,因此译码器的输出根据十进制数的字符显示效果来

2、定义,显示译码器有配合共阴极和共阳极的区分,必须根据实际选用。(2)数码管的动态显示。本实验为LED数码管动态显示控制实验。LED动态显示是将所有相同的段码线并接在一个I/O口上,共阴极端或共阳极端分别由相应的I/O口线控制(本实验箱为共阳极)。由于每一位的段选线都在一个I/O口上,所以每送一个段选码,所有的LED数码管都显示同一个字符,这种显示器是不能用的。解决此问题的方法是利用人的视觉滞留,从段选线I/O口上按位次分别送显示字符的段选码,在位选控制口也按相应的次序分别选通相应的显示位(共阴极送低电平,共阳极送高电平),选通位就显示相应字符,并保持几毫秒的延时,未选通位不显示字符(保持熄灭)

3、。这样,对各位显示就是一个循环过程。从计算机的工作来看,在一个瞬时只有一位显示字符,而其它位都是熄灭的,但因为人的视觉滞留,这种动态变化是觉察不到的。从效果上看,各位显示器能连续而稳定地显示不同的字符,这就是动态显示。(3)LED点阵。LED点阵显示字符的原理和数码管显示原理相似。数码管通过段选和位选来确定那个数码管亮,再通过各个数码管的不断循环点亮,实现动态显示。点阵的显示原理与之相近:点阵通过行选先确定哪一行可以被选通点亮,再通过列选确定所选行的哪几个led可以被点亮,最后在时钟电路的驱动下不断换行显示,从而实现点阵的动态显示。5 实验内容(1)数码管驱动显示。新建工程,调试程序,分配引脚

4、。将程序下载到实验箱,并调试成功。初始代码为数码管依次显示1到8修改实验代码,使数码管能够显示自己学号后8位。参考的器件引脚图参考电路图参考程序:module smg(clk,duan,wei);input clk;output 6:0duan;/数码管段选output 7:0wei;/数码管位选reg 6:0duan;reg 7:0wei;parameterseg0= 7h3f,/参数定义seg1= 7h06,seg2= 7h5b,seg3= 7h4f,seg4= 7h66,seg5= 7h6d,seg6= 7h7d,seg7= 7h07,seg8= 7h7f,seg9= 7h6f,sega

5、= 7h77,segb= 7h7c,segc= 7h39,segd= 7h5e,sege= 7h79,segf= 7h71;reg 2:0cnt;/三位计数器always(posedge clk) begin/时钟每上升一次,计数器加一cnt=cnt+1;endalways(posedge clk) begin/*时钟每上升一次,数码管亮一个,且每次亮的数码管和显示的数字都不同。当时钟频率快到一定程度时,由于人眼的视觉滞留便出现了多个数码管同时点亮的现象*/if(cnt=3b000)begin duan=seg1;wei=8b11111110;endelse if(cnt=3b001)begi

6、n duan=seg2;wei=8b11111101;endelse if(cnt=3b010)begin duan=seg3;wei=8b11111011;endelse if(cnt=3b011)begin duan=seg4;wei=8b11110111;endelse if(cnt=3b100)begin duan=seg5;wei=8b11101111;endelse if(cnt=3b101)begin duan=seg6;wei=8b11011111;endelse if(cnt=3b110)begin duan=seg7;wei=8b10111111;endelse if(cn

7、t=3b111)begin duan=seg8;wei=8b01111111;endelse ;endendmodule(2)LED点阵控制新建工程,调试程序,分配引脚;将程序下载到实验箱,并调试成功。点阵显示“光”字;修改参考程序,使点阵显示“电”字。参考的有关电路连接图本实验箱中,JX17和JX18的引脚对应H0H15(即为116行),JX19和JX20对应的引脚为L0L15(即116)列。同学们可以自己分配FPGA芯片引脚,建议分配在JP2、JP3、JP4、JP5。参考程序:module dianzhen(clk,hang,lie );input clk;/时钟output 15:0ha

8、ng;/16位行选,当为1时选通output 15:0lie;/16位列选,当为0时选通reg 15:0hang;/16位行寄存器reg 15:0lie;/16位列寄存器reg 3:0cnt;/4位计数器,可从116always(posedge clk)/时钟上升沿来临,计数器加一cnt=cnt+1;always(posedge clk)/计数器每变化一次,行相应变化一次,使行顺序往下移if(cnt=4b0000) begin/选通第一行,由于没有亮点,所以列选全部为1hang=16b0000000000000001;lie=16b1111111111111111;endelse if(cnt

9、=4b0001) beginhang=16b0000000000000010;lie=16b1111111111111111;endelse if(cnt=4b0010) beginhang=16b0000000000000100;/选通第三行,从左往右第八个led点亮,故第8位为0lie=16b1111111011111111;endelse if(cnt=4b0011) beginhang=16b0000000000001000;lie=16b1110111011101111;endelse if(cnt=4b0100) beginhang=16b0000000000010000;lie=

10、16b1111011011011111;endelse if(cnt=4b0101)beginhang=16b0000000000100000;lie=16b1111101010111111;endelse if(cnt=4b0110)beginhang=16b0000000001000000;lie=16b1100000000000111;endelse if(cnt=4b0111)beginhang=16b0000000010000000;lie=16b1111110101111111;endelse if(cnt=4b1000)beginhang=16b0000000100000000;

11、lie=16b1111110101111111;endelse if(cnt=4b1001)beginhang=16b0000001000000000;lie=16b1111110101111111;endelse if(cnt=4b1010)beginhang=16b0000010000000000;lie=16b1111110101111111;endelse if(cnt=4b1011)beginhang=16b0000100000000000;lie=16b1111101101110111;endelse if(cnt=4b1100)beginhang=16b0001000000000

12、000;lie=16b1110011100000111;endelse if(cnt=4b1101)beginhang=16b0010000000000000;lie=16b1111111111111111;endelse if(cnt=4b1110)beginhang=16b0100000000000000;lie=16b1111111111111111;endelse if(cnt=4b1111)beginhang=16b1000000000000000;lie=16b1111111111111111;endelse ;endmodule6.思考题(1)数码管显示电路控制的核心思路是什么?(2)LED点阵电路控制的核心思路是什么?(3)总结本次实验的心得。

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服