ImageVerifierCode 换一换
格式:DOC , 页数:30 ,大小:165.54KB ,
资源ID:3996525      下载积分:5 金币
验证码下载
登录下载
邮箱/手机:
验证码: 获取验证码
温馨提示:
支付成功后,系统会自动生成账号(用户名为邮箱或者手机号,密码是验证码),方便下次登录下载和查询订单;
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

开通VIP
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.zixin.com.cn/docdown/3996525.html】到电脑端继续下载(重复下载【60天内】不扣币)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  
声明  |  会员权益     获赠5币     写作写作

1、填表:    下载求助     索取发票    退款申请
2、咨信平台为文档C2C交易模式,即用户上传的文档直接被用户下载,收益归上传人(含作者)所有;本站仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。所展示的作品文档包括内容和图片全部来源于网络用户和作者上传投稿,我们不确定上传用户享有完全著作权,根据《信息网络传播权保护条例》,如果侵犯了您的版权、权益或隐私,请联系我们,核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
3、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据,平台无法对文档的真实性、完整性、权威性、准确性、专业性及其观点立场做任何保证或承诺,下载前须认真查看,确认无误后再购买,务必慎重购买;若有违法违纪将进行移交司法处理,若涉侵权平台将进行基本处罚并下架。
4、本站所有内容均由用户上传,付费前请自行鉴别,如您付费,意味着您已接受本站规则且自行承担风险,本站不进行额外附加服务,虚拟产品一经售出概不退款(未进行购买下载可退充值款),文档一经付费(服务费)、不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
5、如你看到网页展示的文档有www.zixin.com.cn水印,是因预览和防盗链等技术需要对页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有水印标识(原文档上传前个别存留的除外),下载后原文更清晰;试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓;PPT和DOC文档可被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;PDF文档不管是原文档转换或图片扫描而得,本站不作要求视为允许,下载前自行私信或留言给上传者【精***】。
6、本文档所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用;网站提供的党政主题相关内容(国旗、国徽、党徽--等)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
7、本文档遇到问题,请及时私信或留言给本站上传会员【精***】,需本站解决可联系【 微信客服】、【 QQ客服】,若有其他问题请点击或扫码反馈【 服务填表】;文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“【 版权申诉】”(推荐),意见反馈和侵权处理邮箱:1219186828@qq.com;也可以拔打客服电话:4008-655-100;投诉/维权电话:4009-655-100。

注意事项

本文(基于Xilinx-FPGA高速串行接口的设计与实现毕业设计.doc)为本站上传会员【精***】主动上传,咨信网仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知咨信网(发送邮件至1219186828@qq.com、拔打电话4008-655-100或【 微信客服】、【 QQ客服】),核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载【60天内】不扣币。 服务填表

基于Xilinx-FPGA高速串行接口的设计与实现毕业设计.doc

1、 基于Xilinx FPGA高速串行接口 设计与实现摘 要由于时钟抖动,扭曲,队列同步和串扰噪声和各种非理想因素,进一步完善面临巨大的挑战并行传输率.因此,串行传输,已成为高速数据传输系统在深亚微米主要选择。在串行传输系统为了实现高速信号传输,并可节约电能和降低成本,数据更倾向于使用低摆幅模式,LVDS和CML是低电压,小的摆动,差分信号的串行传输方式,所以它被广泛地应用于PCI.快递网络物理层和高速度SERDES电路。但这个标准的LVDS传输率只能达到3Gbps,以实现独立设计以满足5Gbps的要求及以上的高速PCI.表达应用,本文研究了伪标准的LVDS 121(PLVDS)和CML的启动界

2、面的设计研究。基于传输信号的理论,非理想因素和传输线的行为的信号完整性分析;提出了考虑高速串行传输系统的电路级和版图级设计;在PLVDS结束与CML收发器电路的设计,并提出了改进方案.其中,无歪斜单端差挠度问题提高plvds收发电路,电路的性能与加速管的改进;电平转换电路的信号快速切换到低水平的高水平,没有后续电路的调整,因此,延时小;双共模反馈电流开关电路的共模电平的控制,另一个环控制输出摆幅,输出更稳定;微分预加重技术使驱动能力强、降低码间干扰。用于CML收发器的若干关键技术,有源负反馈技术和有源电感技术不仅可以有效地扩大信号的带宽,而且可以提高电路,电路的性能,降低了电路的功耗,减少了芯

3、片的面积;均衡技术是有效减少传输线效应符号间干扰所引起的信号失真,提高信号质量.同时也采用三级结构的樱桃.胡珀限幅放大器电路,均衡电路进一步放大到比较器输出低摆幅信号可以识别的电压幅值.在本文中,0.131cm CMOS技术实现两个PCI。表达物理层PLVD和CML高速串行数据传输接口的基础上。仿真结果表明,两种接口电路的传输速率高达5Gbps,完全符合PCI Express表示应用要求。主题词:PLVDS,CML,预加重,均衡,有源负反馈,电压比较器,失效保护- I -Design and implementation of highspeed serial interface based

4、on Xilinx FPGAAbstractDue to clock jitter, skew, queue synchronization and crosstalk noise and various nonideal factors, parallel transmission rate to further improve the face enormous challenges。 So that the serial transmission has become a high-speed data transmission system in deep submicron main

5、 choice。 In the serial transmission system in order to realize the high-speed signal transmission, and can save power and reduce the cost, the data tend to use low swing mode, LVDS and CML is the low voltage, small swing, differential signal serial transmission mode, so they are widely used in PCI。E

6、xpress network physical layer and high speed SerDes circuit in。 But this standard LVDS transmission rate can only reach 3Gbps, in order to achieve the independent design to meet the requirements of 5Gbps and above high speed PCI.Express application, this paper studies a pseudo standard LVDS 121 (PLV

7、DS) and a CML interface to start the design research。 Based on the theory of transmission signal, the signal integrity analysis of nonideal factors and transmission line behavior; then put forward considering the highspeed serial transmission system circuit level and layout level design; at the end

8、of the PLVDS and the CML transceiver circuit design and put forward the improvement scheme。 Among them, no skew singleended to differential deflection problem to improve the PLVDS transceiver circuit, the circuit performance is improved with the accelerating tube; level conversion circuit the signal

9、 quickly switched to a high level from low level, without a subsequent circuit is adjusted, therefore, the time delay is small; with double commonmode feedback current switching circuit in a the loop control of common mode level, another loop control output swing, the output is more stable; differen

10、tial preemphasis technology makes stronger driving capability and reduce intersymbol interference。 Several key technologies used in a CML transceiver, the active negative feedback technology and active inductor technology not only can effectively expand the bandwidth of signal, but also can improve

11、the performance of circuit, circuit, reduce the power consumption of the circuit, reduce the area of chip; equalization technology is effective to reduce the transmission line effect and intersymbol interference caused by signal distortion, the signal quality is improved。 At the same time also uses

12、three levels of structure of Cherry。Hooper limiting amplifier circuit, the equalization circuit outputs low swing signal for further amplification to the comparator can identify the voltage amplitude。 In this paper, 0.131xm CMOS technology to achieve two for PCI。Express physical layer PLVDS and CML

13、high-speed serial data transmission interface based on。 Layout simulation results show that, two kinds of interface circuit transmission rate up to 5Gbps, fully meet the requirements of PCI.Express application.Key Words:PLVDS,CML,Preemphasis,Equalization,Active NegativeFeedback,Limiting Amplifier,Fa

14、il-Safe- III -目 录摘要IAbstractII引言21绪论31。1课题研究背景31。2高速串行技术发展现状32 Virtex-5 FPGA性能和设计技术92.1 最新款FPGA产品Virtex592。2 FPGA设计方法112。3 Xilinx FPGA设计工具简介13因为第二种方法便于改变和掌握,所以后面章节中所进行在线逻辑分析多采用第二种直接插入IP核方法进行。3 基于FPGATS201链路口设计与实现143 基于FPGATS201链路口设计与实现153。1 TS20l链路口简介153。2 FPGA与TS20l硬件连接及可行性分析183。3 基于FPGA高速链路口仿真设计19

15、3。4高速链路口实际硬件调试254 B3G TDD系统中RocketIO 接口资源需求分析与设计285 B3G TDD系统MAC层高速串口实现与仿真测试325。1 B3G TDD系统MAC处理接口板实现策略321。MAC高层协议处理模块332。数据转接模块345.2 RocketIO接口仿真与测试345.2。1 RocketIO 接口仿真345.2.2 单板测试和板间测试375。3 本章小结40结论41参考文献42附录A 附录内容名称43致谢48引 言在数字系统的互连设计,高速串行I/O技术替代传统的并行I / O技术已成为发展趋势。与传统的并行I / O技术相比,串行方案提供了更长的距离,带

16、宽,更低的成本和更高的可扩展性,克服了并行I/O设计缺陷.在实际设计中的应用,利用现场可编程门阵列(FPGA)高速串行接口的实现是一种低成本的方法1.Xilinx的FPGA芯片的最新一代的Virtex。的Virtex系列产品5版,是第五代产品,先进的65纳米三氧化过程中使用的新技术,expressfabrie ASMBL架构。的Virtex。高速逻辑5 LXT,数字信号处理,嵌入式处理和串行链路的应用优化.与前代相比viaex FPGA,5在性能和密度有了很大的进步:速度提高31%,容量增加64%,动态功耗降低34.9,静态功耗保持相同的低水平,减少45的占地面积.Virtex。5 LXT芯片

17、是建造高达24的RocketIO收发器,支持从101Mbps的3。75gbps串行数据传输速率,支持流行的高速串行I/O接口标准.本文从时钟,复位,功率控制,发送和接收逻辑和其他关键方面,讨论了利用Virtex.5 LXT芯片RocketIO的设计和高速串行传输接口的实现。Xilinx ml505开发平台实现高速串行数据传输系统基于RocketIO技术,针对1.24Gbps的高速串行传输特性。1绪论1.1高速串行技术背景的研究现代社会信息爆炸式的增长,网络的发展,多媒体等新技术,在数据和数据发送速率的要求,迅速增加的用户。目前,数据通信带宽的需求已经超过了穆尔定律(穆尔定律:处理器带宽每十八个

18、个月翻了一倍,但性能却每三年增加一倍)。通常,数据交换的瓶颈存在于系统互连的水平,甚至在不同的系统之间以及与其他外部系统通信的速度进行交流.该行业一直在努力生产更多的和更快速单片机来解决这个问题,但这种方法似乎遇到瓶颈,因为用户没有直接感受到CPU的性能比和很大的性能改进。这使得IO接口到系统的性能进一步提高的瓶颈,这是一个新的系统互连技术的CPU性能的迫切需要将转换为系统的性能。在单端互连的早期影响的设计,容易受到干扰,噪声,传输速度可以达到200 250mb/s;一般来说,增加并行总线宽度可以提高数据吞吐量,但是汽车数量将增加PCB布线困难,和时钟抖动和偏移频率带来的设计挑战的同时,进一步

19、提高人脸限制并行传输率,它是提供经济和可靠的信号同步的方法非常困难;而高速串行通信技术,以其广泛的带宽,抗干扰能力强、接口简单等优点,正迅速取代传统的并行技术,成为行业内的主流。在这种背景下,本文针对更受欢迎,有很大发展潜力的高速串行接口电路的两种-高速链路口和Rocket I/O研究,并对其进行仿真设计,该公司最新的实时执行程序。Virtex。5在FPGA平台.高速度链的设计是ADI公司的DSP TS20XS LVDS交叉技术)基于接口技术(链接口)进行仿真设计;而Rocket I/O是CML的高速串行传输接口的基础上,时钟恢复,全双工串行I / O的收发,从而实现高效的高速串行通信协议的设

20、计.1.2 HSST(High Speed Serial Technology)现状发展概况今天,还是并行总线用于大多数计算机,嵌入式处理设备和通信设备,最流行的总线包括PCI,VME及其扩展.目前广泛使用的几种通信标准是基于并行总线标准。可以分为两类:系统总线并行同步并行总线标准,包括PCIX和CompactPCI;源同步并行总线标准,包括RapidIO,HyperTransport和其他类似的标准.但随着芯片的性能提升,对带宽的需求和更大的,多通道并行总线结构满足系统设计有限公司。并行接口约束:问题的干扰,信号偏移,串扰和直流偏置的代码,这些因素严重影响并行接口频率的增加和增长传输距离。为

21、了解决并行接口在数据传输时所面临的限制问题,国内外都将在高速串行接口电路更集中研发2。1。2.1 LVDS相关介绍LVDS(低电压差分信号)是一个低摆幅差分信号技术,通过一对差分电路板线传输数据信号的振幅很小的使用,在两个平行的差分信号线通过电流和电压幅值的对比度,噪声和耦合到两条线,而这种噪声抵消.为了实现高速,长距离传输,和低振幅和低驱动电流输出达到低噪声、低功耗.LVDS是在两个标准的定义:一个是IEEE P1596。3,主要为SCI(可扩展的一致性接口),定义了LVDS的电气特性,还定义了分组交换在SCI协议的编码时间;另一个是ANSI/EIA/EIA644,定义了LVDS主要电气特性

22、,以及655mbps和1。823gbps无损介质理论极限速率的最大速率。在两个标准的指定和物理媒体的独立性,这意味着只要介质在指定的噪声容限和歪斜容忍范围内发送信号到接收机,该接口可以正常的工作3。LVDS具有许多优点:终端配备更方便;低功耗;有可靠性功能fail。safe;成本低;能够高速传输。广泛应用于计算机,通信设备,消费类电子产品等。图1.1简易LVDS图示Fig 1。1 Simple LVDS Icon图1。1显示了一个典型的LVDS接口,它是一个单一的模式,采用半双工、多点配置也可以是必要的。每个连接点的差分对包括一个驱动器,连接单元和接收器。驱动器和接收器主要完成TTL和LVDS

23、信号之间的转换。互连单元包括一个电缆,PCB差由线对和一个匹配电阻.LVDS驱动器由一个驱动差分对电流源(3。60mA电流),LVDS接收器具有非常高的输入阻抗,因此驱动器输出的电流大部分都是通过120匹配,并产生约在接收机的输入端352mV电压。司机转弯时,它改变流经电阻的电流方向,从而有效的逻辑“1”和“0状态。低摆幅驱动信号来实现高速运算和减少功率消耗,差分信号提供适当的噪声和功耗大大减小电压摆动。功率大大降低多接口驱动和接收一个单一的集成电路的集成允许。这提高了PCB板的效率,降低成本4。无论LVDS传输介质的使用是PCB线路的电缆,必须采取措施防止媒体终端信号的反射,在同一时间,减少

24、电磁干扰.LVDS需要相匹配的终端电阻介质的使用(110 + 10),循环的终止信号的电阻,应尽量靠近接收器输入的地方.LVDS技术的应用变得越来越普遍。在高速系统中,系统的背板互连电缆传输应用中,驱动器,接收器,收发器,串行/解串器和其他技术的应用也越来越广泛.接口芯片供应商也都在LVDS作为下一代基础设施模块互连移动电话基站之间的基本结构,支持,中央办公室交换设备、网络和计算机,工作站。目前,国际上对LVDS及其相关产品 研究十分活跃,很多公司都已经推出了多种LVDS产品,这些产品已广泛 应用在计算机和通讯领域里来解决高速数据传输瓶颈问题。ADI公司(美国模拟元件公司),在开发的DSP器件

25、具有基于接口的数据传输功能的LVDS技术的特点,使DSP器件具有在同类产品中的竞争力。从SHARC系列TigerSHARC系列,从ADSP 2106x,从ADSP 2106X、ADSPTS201到ADSPTS10l,链路口继续改善,从而提供了具有更高的数据传输速率的点对点的通信模式5。1。2.2 CML概况CML(Current Modc Logic)是ECL,LVDS低压差分信号高速串行接口传输技术。该技术使用一个低电压摆动,驱动模式传输差分信号和电流,具有高速度,低噪音的优点,低功耗和低成本等优点.CML的串行数据传输速率在1Gbps的10Gbps,并通过信道绑定技术,制造工艺的改进方法,

26、也能达到更高的速度。CML具有这些优点,特别是超高的数据传输能力和应用的需求大大增加,数据传输采用CML技术2。5Gbps的串行传输系统的速率6。CML是一种高速点至点接口,它具有独特的功能,它是接收机和发射机通常不需要任何外部电阻,终端电阻通常是发射机和接收机内部实现.CML和接口电路的典型的传输方式是形式的差异.CML有两个主要的传输连接:直流耦合(DC),交流耦合(AC),如下图所示。当发射机和接收机使用相同的电源装置,CML可用于直流耦合方式,不用任何附加装置;当接收装置的两端与不同的功率,一般应考虑交换耦合,耦合电容(注意,耦合电容是足够大,以避免长期甚至0甚至1的情况下,接收端的差

27、分电压小).图1.2直流耦合(DC)Fig1.2 DC coupling (DC)图1.3交流耦合(AC)Fig 1.3 AC coupling (AC)因为CML高速数据传输能力,结构简单,所以在高速串行传输系统的收发信机,一般采用CML结构.目前,对CML及其相关产品开发国际的研究非常活跃,许多公司都推出了各种利用CML作为传输接口产品。IBM开发了高速(高速SERDES)的IP核心,采用CML接口,可以从11.1gbps 2.5Gbps传输率。美国国家半导体公司和Ti对CML的科技公司也进行了深入的研究,根据不同的要求,开发了一系列CMLI/ O的芯片.如NSC发展ds25mb-200t

28、sq,ds40mb200sq接口芯片,ds42mb-200tsq数据传输速率达到了2。51Gbps,4。1Gbps,4。23Gbps,随着EQ50F100LR传输速率最高可以达到6。22gbps。TI公司ONET4201LD激光驱动器可以提供的数据传输速率为0。15gbp4。5gbps7。在Xilinx一直关注的高速串行通信,不仅推出满足行业需求的高速串行内核逻辑器件,而且还积极参与高速串行I/O各种开放标准.Xilinx公司以来的Viretx2 PRO系列开始,现在最先进的Viretx。5系列FPGA发展,通过嵌入式Rocket的IO高速串行IO模块,已成功地使FPGA逻辑器件的变化从并行I

29、OIO高速串行。Xilinx Rocket IO的CML,五个可编程的输出摆幅的CML输出电压V在800mv1600mv的范围8.2 Virtex5 FPGA设计原理和参数2。1 Virtex5介绍作为一个Xilinx FPGA的领先制造商,提供了极为丰富的FPGA产品系列,主要包括Virtex系列和Spartan系列。所有的产品都有自己的特点和优势,但总的来说,是一个高性能的FPGA的Virtex系列,Spanan系列属于低成本。Xilinx Virtex5是世界上第一个65nm FPGA产品,基于创新的ExpressFabric架构。FPGA包含多达20万个逻辑单元,工作频率可达560MH

30、z,能耗降低35。3,比以前的产品,在性能提高30,45体积减小,1。02V三栅氧化过程中使用,可靠性高,产品的设计更加灵活。提供了4种Virtex5系列的FPGA,LX,LXT的新平台,使用新的560MHz时钟技术的各个平台,1。26Gbit/s的LVDS I/O和IP块的性能优化。其中,对于LX平台的高性能通用逻辑设计;与PCIExpress端点块LXT平台FPGA,以太网MAC块和RocketIO GTP收发器接口模块,适用于高速接口的场合;高性能的信号处理的SXT平台;嵌入式处理器FXT平台,嵌入式中的应用Virtex5系列FPGA 核心技9。一、ChipSync介绍:为了保证高速新一

31、代设备之间数据的可靠传输,硬件设计人员使用同步设计技术的源泉,使数据传输组件产生时钟信号,并发送数据,这可以通过使用传输数据时钟避免由于钟盐池和不同问题的数据。Virtex-5嵌入式SERDES硬件和可变延迟线(即idelay单元ilogic),片同步技术是这些资源来实现源同步接口的使用10.Cllipsync技术通过使用嵌入式的SERDES,对总线接口的串行和并行的解决方案,可使千兆速率的高速串行IO和相对较低的频率的FPGA协同工作,串行IO传输可以在最高的速度进行,从而提高了系统性能,对普通逻辑的巨大的资源,在困难面前高速串行接口设计中使用的去除。通过一个可变延迟线的使用cmpsync技

32、术,消除了建立时间和保持时间的问题.因为数据和时钟信号之间的偏移利用idelay元以弥补PCB布线,使设计者可以调整每个数据和时钟路径延迟(75ps步进),数据采集的实现。二、XCITE AI/O终端技术(Active I/O terminal Tec)提供一个控制终端阻抗匹配在FPGA(DCI),这种技术是XCITE活跃的I / O终端技术.高速PCB板设计,I/O终端实现阻抗匹配,以保持信号的完整性。传统的方法是在PCB端点匹配电阻线,但大规模的FPGA使用数以百计的I / O和先进的包装技术,外部终端电阻几乎是不可能的。为了解决这个问题,所有的Virtex-5 I/O结构由第三代Xili

33、nx的阻抗控制技术(XCITE)对活性的I / O终端,实现阻抗匹配。同时,积极的I / O终端电路还可以动态地消除由于工艺,电压和驱动强度变化引起的温度变化,提高设计的可靠性11。三、Xesium计数器Virtex5具有时钟资源丰富,包括32个时钟输入,32全局时钟网络,16 48本地时钟网络和8 24时钟带。Xesium时钟技术通过减少时钟抖动,斜周期畸变和责任,它提供了许多的时钟管理的特点,包括高达20的DCM,8相匹配的时钟分频器(PMCD)和32个全局时钟缓冲.Xesium时钟技术消除象限和缓冲区的限制,使布局更加方便,时钟频率可达550MHz。四、RocketIO发送与接收器Vir

34、tex5 LXT FPGA RocketIO GTP 8 24收发器,包括SONET OC-12,光纤通道支持,千兆以太网,PCI Express和极光10种高速串行IO。嵌入式RocketIO GTP的硬件模块的使用,可大大简化背板,线,开关的设计系统,服务器和存储系统,工程师可以在很短的时间内建立的芯片和电路板之间的高速连接,以提供电子系统所需的数据带宽的一种新的时代12。RocketIO技术包括一下几点:千兆位收发器技术的可使用第三代技术; 提供了100Mbit/s到3。2gbit / s的工作范围广,支持多速率的应用; 符合最广泛的芯片,背板和光学装置的标准和协议; 收发器达24个;

35、先进的TX / RX均衡技术。 完整的串行I / O的解决方案.五、DSP48E模块Virtex5 FPGA的DSP的性能优良,DSP48E块可以在500MHz的频率工作,和一个更大的FPGA(XC5VLX330T)最多可以有192DSP48E块,一般的数字信号处理能力的105gmacs能达到惊人,让设计者可以很方便地处理各种设计挑战,数字信号处理,如中间频率和基带下变频信道数目庞大,3G扩频系统的码片速率处理128倍和高分辨率的H。264、MPEG4编解码算法。该DSP48E块是一个多功能的,粗糙的DSP,使设计者可以有效地提高基于FPGA的DSP系统强大的功能。支持超过40种动态控制运行方

36、式的DSP48E块,包括乘法器,MAC,MAC / 3输入加法器,减法器,桶形移位器,多总线多路复用器,各种计数器、比较器。DSP48E块支持加法链结构,可以有效地进行高性能滤波器和复杂的算术运算。 六、嵌入式以太网媒体访问控制器(MAC)模块Virtex5 LXT FPGA包括一个内置的以太网连接,具有多达4个以太网媒体访问控制器(MAC)模块。MAC模块性能简介如下: 符合V3。E802标准 三态EMAC10101Mbit/s模式,1001Mbits模式,10101l001Mbit/s模式 可编程的物理层接口(M、RGM、GMII、SGM) 可以实现无缝连接的RocketIO收发器 每次最

37、多可以存储1800个逻辑单元 适用于网络管理或FPGA远程监测 可以提供完整的RocketIO收发器2.2 FPGA 设计方法一般来说,完整的FPGA设计流程包括RTL设计输入,功能仿真,优化,布局,时序分析,时序仿真,并下载调试测试这几个阶段,如图2。1所示 13 。图2.1 FPGA设计流程图Fig 2。1 FPGA design flow chart熟悉FPGA的设计流程,在设计过程中的灵活应用,可以加快工程进度,提高发展质量.2。3 Xilinx FPGA 相关软件介绍使用ISE Xilinx FPGA的,是必不可少的设计工具。ISE FPGA可以完成所有的开发过程,包括输入,仿真,设

38、计合成,布局,生成的点文件,配置和在线调试,非常强大。对于大多数的FPGA设计者,你可以使用ISE完成设计任务。ISE是一个集成开发环境,结合实际的大量工具,包括HDL编辑器(HDLEditor),出口核能发电机(CORE Generator System),约束编辑器(Constraints Editor),静态时序分析工具(Static Timing Analyzer),布局规划工具(FloorPlanner),FPGA编辑工具(FPGA Editor)和功耗分析工具(XPower),这些工具可以帮助设计师完成设计任务,或提高工作效率.在FPGA设计中,除了使用ISE软件的大部分功能,也可

39、用于第三方仿真工具-ModelSim和Xilinx公司提供在线逻辑分析仪的工具_cllipscope Pro。在这里,两个软件,并使用在ISE法相结合做了简单的介绍.231 Modelsim软件虽然ISE还提供了仿真工具ModelSim自身的发展,但最常用的仿真工具的FPGA设计行业,在ModelSim仿真工具的设计经验,仿真速度会更快,而且还提供了功能更齐全。Modelsim是一个独立的ModelSim仿真工具,它不需要其他软件辅助工作时间.在ISE软件集成开发环境Modelsim仿真软件的接口,通过从ISE集成环境接口直接启动Modelsim仿真工具.如果你想从ISE集成开发环境开始进行直

40、接的工具,需要注意以下内容: ISE创建的项目属性,使用modelsiin作为仿真工具修改设置,并且需要正确设置进行安装路径 加入ISE的源代码和编译 ISE测试夹具和测试台波形工具的使用提供电流设计测试模板(Testbench),增加激励的设计和测试模板2.3。2 ChipScope Pro软件介绍ChipScope Pro提供片上逻辑分析仪功能的FPGA调试,它类似于传统逻辑分析仪的功能,具有这种优势,可以观察到任何信号在FPGA,FPGA调试带来极大的便利,触发条件,数据的宽度和深度的设置也很方便,在实际工作中得到了广泛的应用。Xilinx Chipscope Pro可用于FPGA调试的

41、全系列产品,它仅使用软件与JTAG电缆信号分析。ChipScope Pro的主要功能是通过JTAG端口,在线,实时读出信号的FPGA实现。的基本原则是ChipScope Pro FPGA和BLOCKRAM内部逻辑的使用,根据用户设定的触发条件将信号保存BLOCKRAM,然后发送到计算机通过JTAG端口,并显示在计算机屏幕上的时间波形。ChipScope Pro使用方法如下:一般来说,当用户需要实例化ChipScope Pro两种核的设计:一是逻辑分析仪系统的集成(ILA核心,集成控制器核分析仪的核心),提供触发采集和跟踪功能;二是核集成控制器(图标核心,集成控制器为核心,负责核心和ILA)边界

42、扫描端口通信,一个图标核心可以连接到1 15个核心.ChipScope Pro,ILA核心根据用户设定的触发条件捕获数据,然后在图标核心控制,对计算机通过边界扫描端口上传,并给出用ChipScope Pro分析仪的信号波形。ChipScope Pro包括三种类型:ChipScope Pro Core Generator、ChipScope Pro Core Inserter和ChipScope Pro Analyzer。ChipScope Pro两种方法:第一个是ChipScope Pro核心与发电机和ChipScope Pro分析仪的应用。首先利用ChipScope Pro核心发生器来产生所

43、需的IP,输出的HDL文件的结果,它描述了核心的定义和接口(没有内部的细节);然后根据信息核实情况来设计自己的用户,同时需要观察的信号连接到IP端口,然后综合,布局布线,下载等操作,FPGA的运行可以观察ChipScope Pro分析仪波形.第二是ChipScope Pro核心的插件和ChipScope Pro分析仪的应用.这种方法比较简单,在全面的代码设计,利用ChipScope Pro芯插件直接进入的IP核,然后综合,布局,下载操作,术后观察ChipScope Pro FPGA中的波形分析仪。由于第二种方法是容易改变和掌握,所以后面部分的逻辑分析线的第二直接进入IP核的方法。3 TS201

44、链接口设计与实现3.1 TS20l链接口简介ADSP TS201和ADSP TSL101比较,最明显的环节的改善。的ADSP TS201链路组成一个单一的终端连接到LVDS差分连接模式,通过准双向口完全变为双向通信链路时钟,也可以在连接口中实现时钟速率,导致更高的速度比的ADSP TSL101链接,更高的数据吞吐量,高可靠性.的ADSP TS201有四个独立的全双工链路,提供了一种快速,为处理器的内部或外部数据传输独立的通信机制,它在系统之间通信的方法提供了一种阵列的点,也可以互相使用相同的I / O设备通信协议。在本文中,本章的内容,这是通过在Xilinx的FPGA仿真链路协议,从而实现AD

45、SP ts20l和Virtex5 XC5VLX50T之间的高速数据传输,在仿真设计,必须首先对TS201的链接结构的内容,通信协议有一个清晰的了解,从而做出正确的在FPGA的仿真设计.3。1。1 ADSPTS201链路口结构每个链接ts20l有两个独立的通道可以同时运行,发射通道和接收通道,它是全双工模式.如图3.1所示,TS201的链接结构,每个环节包括一个发射器和接收器两部分。两个缓冲区的传输信道,和接收缓冲区三,渠道包括lbuftxx,lbufrxx和RX临时缓冲区是128位的高速缓存,和移位寄存器是不能通过软件。图3。1 TS201链路口结构Fig 3.1 TS201 link str

46、ucture在实际传输TS201的链接结构,接收过程:ADSP TS201的链路发送缓冲寄存器写入完成数据传输,从接收缓冲寄存器读取数据,完成数据的接收。只要发送移位寄存器是空的,都写的发送缓冲区的数据将被复制到发送移位寄存器,然后发送。只有当接收移位寄存器为空,或接收缓冲区有足够的空间来接收从移位寄存器接收全4话接收数据时,接收只允许输入的数据.连锁经营是最理想的交叉口控制直接从接收缓冲区的数据移动。如果接收缓冲区已满,然后将数据复制到一个临时的接收缓冲区,等待接收缓冲区为空,那么数据到接收从临时接收缓冲区。接收器通过lxacko信号来控制数据流,当lxacko高,该接收机可以接收数据,如果

47、lxacko低,只有最多四个字符为2组(256位的数据)。链路口部分引脚定义如下表所示:表3。1 (a)链路口发送通道Tab 3.1(a) Linkport transmission channel pinout信号位宽方向说明LxCLKOUTP1输出O 链路口发送时钟 LVDSPLxCLKOUTN1输出O 链路口发送时钟 LVDSNLxDATA030P4输出O 链路口发送数据30 LVDSPLxDATA03-ON4输出O 链路口发送数据30 LVDSNLxACKI1输入I 接收应答,表明接收方准备好继续接收LxBCMPO1输出O 块完成标志表31(b)链路口接收通道Tab 3.1 (b) L

48、ink receiving channel pinout信号位宽方向说明LxCLKINP1输入I 链路口发送时钟 LVDSPLxCLKINN1输入I 链路口发送时钟 LVDSNLxDATA030P4输入I 链路口发送数据30 LVDSPLxDATA03ON4输入I 链路口发送数据30 LVDSNLxACKO1输出O 接收应答,表明接收方准备好继续接收LxBCMPI1输入I 块完成标志3.1。2 ADSP TS201链路口通信协议链路端口通信,无论是传输或接收,可以是1或4位的数据总线,控制3控制信号。1链路口用针lxdatiop / N和lxdato0p / N为数据传输。每个链路口有2个独立通道可以同时通信,发送通道发出数据到外设,接收通道从外设读入数据

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服