ImageVerifierCode 换一换
格式:DOC , 页数:6 ,大小:694KB ,
资源ID:3067074      下载积分:5 金币
验证码下载
登录下载
邮箱/手机:
验证码: 获取验证码
温馨提示:
支付成功后,系统会自动生成账号(用户名为邮箱或者手机号,密码是验证码),方便下次登录下载和查询订单;
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

开通VIP
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.zixin.com.cn/docdown/3067074.html】到电脑端继续下载(重复下载【60天内】不扣币)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  
声明  |  会员权益     获赠5币     写作写作

1、填表:    下载求助     索取发票    退款申请
2、咨信平台为文档C2C交易模式,即用户上传的文档直接被用户下载,收益归上传人(含作者)所有;本站仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。所展示的作品文档包括内容和图片全部来源于网络用户和作者上传投稿,我们不确定上传用户享有完全著作权,根据《信息网络传播权保护条例》,如果侵犯了您的版权、权益或隐私,请联系我们,核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
3、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据,平台无法对文档的真实性、完整性、权威性、准确性、专业性及其观点立场做任何保证或承诺,下载前须认真查看,确认无误后再购买,务必慎重购买;若有违法违纪将进行移交司法处理,若涉侵权平台将进行基本处罚并下架。
4、本站所有内容均由用户上传,付费前请自行鉴别,如您付费,意味着您已接受本站规则且自行承担风险,本站不进行额外附加服务,虚拟产品一经售出概不退款(未进行购买下载可退充值款),文档一经付费(服务费)、不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
5、如你看到网页展示的文档有www.zixin.com.cn水印,是因预览和防盗链等技术需要对页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有水印标识(原文档上传前个别存留的除外),下载后原文更清晰;试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓;PPT和DOC文档可被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;PDF文档不管是原文档转换或图片扫描而得,本站不作要求视为允许,下载前自行私信或留言给上传者【快乐****生活】。
6、本文档所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用;网站提供的党政主题相关内容(国旗、国徽、党徽--等)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
7、本文档遇到问题,请及时私信或留言给本站上传会员【快乐****生活】,需本站解决可联系【 微信客服】、【 QQ客服】,若有其他问题请点击或扫码反馈【 服务填表】;文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“【 版权申诉】”(推荐),意见反馈和侵权处理邮箱:1219186828@qq.com;也可以拔打客服电话:4008-655-100;投诉/维权电话:4009-655-100。

注意事项

本文(EDA在QuartusII中用原理输入法设计4位加法器.doc)为本站上传会员【快乐****生活】主动上传,咨信网仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知咨信网(发送邮件至1219186828@qq.com、拔打电话4008-655-100或【 微信客服】、【 QQ客服】),核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载【60天内】不扣币。 服务填表

EDA在QuartusII中用原理输入法设计4位加法器.doc

1、专业班级: 学号: 姓名: EDA 技 术 实 验 报 告实验项目名称:在QuartusII中用原理输入法设计4位加法器 实验日期: 2012.05.14 实验成绩: 实验评定标准:1)实验程序是否正确A( )B( )C( )2)实验仿真、结果及分析是否合理A( )B( )C( )3)实验报告是否按照规定格式A( )B( )C( )一、 实验目的 .熟悉利用Quartus II的原理图输入方法设计简单组合电路,掌握层次化设计的方法,并通过一个4位全加器的设计把握利用EDA软件进行原理图输入方式的电子线路设计的详细流程。 二、 实验原理: 一个4位全加器可以由4个1位全加器构成,加法器间的进位可

2、以串行方式实现,及将低位加法器的进位输出cout与相邻的高位加法器的最低输入信号cin相接(串行进位加法器)三、 实验器材 QuartusII软件,ACEX1K系列EP1K30TC等。四、 实验内容(实验过程) 实验内容1:按照附录四介绍的方法与流程,完成半加器和全加器的设计,包括原理图,编译,综合,适配,仿真,实验板上的硬件测试,并将此全加器电路设置成一个硬件符号入库。A 打开Quantus2软件,选择 new project wizard 新建项目。B 在该项目下新建图形文件(block diagram/schematic file),如图调出门电路连接成半加器。C 进行编译和仿真。记录实

3、验结果。仿真前要新建波形文件,file new。弹出的对话框选择other files选项卡面的vector waveform file。 在新建的波形文件左边空白栏点击鼠标右键,选择insert insert node or bus.在出现的对话框中直接点击node finder。之后,在出现的对话框中选择list ,当坐标的node find栏中出现设计文件的输入输出端口后,再点击“”加入右边“selected nodes”栏中,然后就ok。D把该半加器生成符号,以备下一环节的全加器使用,选择files create。E在该项目下新建一个图形文件,调入2个半加器符号,建立全加器的原理图。对

4、照书上图形,否则始终编译的是前面的半加器(任何包括多个文件的项目,都对顶层文件进行编译,要编译哪个文件就要把相应的文件设为顶层文件)。方法:选择project set as top-level entity。G仿真,方法如前,记得保存波形文件为项目文件(也就是会覆盖前面半加器的波形文件,所以先把半加器的波形文件用全拼拷贝的形式保存成word文档)。实验内容2:建立一个更高层次的原理图设计,利用实验一获得的1位全加器构成4位全加器。注意总线连接方式必须对连线命名,方式是单击要命名的连线,出现光标后直接输入连线的名字。并完成编译、综合、适配、仿真和硬件测试。选择电路模式1;键入4位加数,键2输入4

5、位被加数;可以由键8来控制最低位的进位;发光管D4D1显示加和;D8显示进位count。重点是在实验1的基础上完成实验2. 五、 实验程序 无六、 实验仿真、结果及分析、一位全加器图 图一、运行后的全加器图 (图二、图三) 图二 图三、4位全加器的原理图: 图四、经过仿真后的时序图 (图五、图六) 图五 图六、实验结果及分析 : 通过实验,了解了一位加法器以及四位加法器的设计流程。但是由于在仿真仿真过程中会出现时延情况,虽然是由于实验器材本身产生的,导致实验结果可能不是那么的理想,但那也是属于正常现象。1位全机器: 通过对以上图中建立的模型可知:在此一位加法器中,a,b 分别为输入,即加数与被加数,而co为进位,s为和。经过演算,当a=b=0 时,co 和s同 为0;从而,通过实验,该全加器实现了最初的预定功能。4位全加器: 而对于四位加法器,为了避免数据上的重复,所以数据、字母均有所改变,通过对一位加法器的熟悉,建立起四位加法器,通过对建立的四位加法器模型分析可知:该四位加法器模型基本正确。

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服