ImageVerifierCode 换一换
格式:DOC , 页数:22 ,大小:254.04KB ,
资源ID:2998462      下载积分:5 金币
验证码下载
登录下载
邮箱/手机:
验证码: 获取验证码
温馨提示:
支付成功后,系统会自动生成账号(用户名为邮箱或者手机号,密码是验证码),方便下次登录下载和查询订单;
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

开通VIP
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.zixin.com.cn/docdown/2998462.html】到电脑端继续下载(重复下载【60天内】不扣币)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  
声明  |  会员权益     获赠5币     写作写作

1、填表:    下载求助     索取发票    退款申请
2、咨信平台为文档C2C交易模式,即用户上传的文档直接被用户下载,收益归上传人(含作者)所有;本站仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。所展示的作品文档包括内容和图片全部来源于网络用户和作者上传投稿,我们不确定上传用户享有完全著作权,根据《信息网络传播权保护条例》,如果侵犯了您的版权、权益或隐私,请联系我们,核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
3、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据,平台无法对文档的真实性、完整性、权威性、准确性、专业性及其观点立场做任何保证或承诺,下载前须认真查看,确认无误后再购买,务必慎重购买;若有违法违纪将进行移交司法处理,若涉侵权平台将进行基本处罚并下架。
4、本站所有内容均由用户上传,付费前请自行鉴别,如您付费,意味着您已接受本站规则且自行承担风险,本站不进行额外附加服务,虚拟产品一经售出概不退款(未进行购买下载可退充值款),文档一经付费(服务费)、不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
5、如你看到网页展示的文档有www.zixin.com.cn水印,是因预览和防盗链等技术需要对页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有水印标识(原文档上传前个别存留的除外),下载后原文更清晰;试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓;PPT和DOC文档可被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;PDF文档不管是原文档转换或图片扫描而得,本站不作要求视为允许,下载前自行私信或留言给上传者【精****】。
6、本文档所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用;网站提供的党政主题相关内容(国旗、国徽、党徽--等)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
7、本文档遇到问题,请及时私信或留言给本站上传会员【精****】,需本站解决可联系【 微信客服】、【 QQ客服】,若有其他问题请点击或扫码反馈【 服务填表】;文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“【 版权申诉】”(推荐),意见反馈和侵权处理邮箱:1219186828@qq.com;也可以拔打客服电话:4008-655-100;投诉/维权电话:4009-655-100。

注意事项

本文(VHDL数字钟设计方案报告.doc)为本站上传会员【精****】主动上传,咨信网仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知咨信网(发送邮件至1219186828@qq.com、拔打电话4008-655-100或【 微信客服】、【 QQ客服】),核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载【60天内】不扣币。 服务填表

VHDL数字钟设计方案报告.doc

1、VHDL数字钟设计汇报一. 数字钟总体设计方案:1.1设计目标正确显示时、分、秒;可手动校时,能分别进行时、分校正; 整点报时功效;1.2设计思绪数字钟设计模块包含:分频器、去抖动电路、校时电路、“时、分、秒”计数器、校时闪烁电路、整点报时和译码显示电路。每一个功效模块作为一个实体单独进行设计,最终再用VHDL例化语句将各个模块进行整合,生成顶层实体top。 该数字钟能够实现3个功效:计时功效、设置时间功效和报时功效。二数字钟模块细节 2.1 分频器(fenpin) 本系统共需3种频率时钟信号(1024Hz、512Hz、1Hz)。为降低输入引脚,本系统采取分频模块,只需由外部提供1024Hz基

2、按时钟信号,其它三种频率时钟信号由分频模块得到。 分频原理:为以1024Hz基按时钟经1024分频得到512Hz,1Hz频率时钟信号。 分频器管脚 代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all;entity fenpin is port(clk1024:in std_logic; clk1,clk512:out std_logic );end fenpin ; architecture cml of fenpin is begin

3、 process (clk1024) variable count1: integer range 0 to 512; variable q1: std_logic; begin if clk1024 event and clk1024=1 then if count1=512 thenq1:=not q1; count1:=0; else count1:=count1+1; end if; end if; clk1=q1; end process; process(clk1024) variable count512: integer range 0 to 1; variable q512:

4、 std_logic; begin if clk1024 event and clk1024=1 then if count512=1 then q512:=not q512; count512:=0; else count512:=count512+1; end if; end if; clk512=q512; end process;end cml; 22 校时电路(jiaoshi)本模块要实现功效是:正常计时、校时、校分在每个状态下全部会产生不一样控制信号实现对应功效。校时管脚图代码:library ieee;use ieee.std_logic_1164.all;use ieee.st

5、d_logic_unsigned.all;entity jiaoshi is port(rst,rvs,select_rvs,mtime,mclkin,hclkin:in std_logic; hclkout,mclkout:out std_logic ); end jiaoshi; architecture cml of jiaoshi is signal h_m:std_logic; begin p1:process(rst,rvs,hclkin,mclkin,h_m,mtime) begin if rst=0 then null; elsif rvs=1 then hclkout=hcl

6、kin; mclkout=mCLKin; elsif h_m=0 then hclkout=hclkin; mclkout=mtime; else hclkout=mtime;mclkout=mclkin; end if; end process;p2:process(select_rvs) begin if select_rvsevent and select_rvs=1 then h_m=not h_m; end if; end process ; end cml;管脚图仿真图2.3 时计数器(hour)分计数器(mine)秒计数器(second) 时计数器管脚图 时代码: library

7、 ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hour isport(rst,hclk:in std_logic; hour0,hour1:buffer std_logic_vector(3 downto 0 ) );end hour;architecture cml of hour is beginprocess(rst,hclk,hour0,hour1) begin if rst=0 then hour0=0000; hour1=0000; elsif hclkevent and hclk=

8、1 then if hour0=0011 and hour1=0010 then hour0=0000; hour1=0000; elsif hour0=1001 then hour0=0000; hour1=hour1+1; else hour0=hour0+1; end if; end if; end process ; end cml; 分计数器管脚图分代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mine isport(rst,mclk:in std_logic; m

9、co:out std_logic; min0,min1:buffer std_logic_vector(3 downto 0 ) );end mine;architecture cml of mine issignal min0_t,min1_t:std_logic_vector(3 downto 0 ); beginprocess(rst,mclk,min0,min1) begin if rst=0 then min0=0000; min1=0000; elsif mclkevent and mclk=1 then if min0=0101 and min1=1001 then min0=0

10、000; min1=0000; mco=1; elsif min0=0010 and min0=1001 then min1=0011; min0=0000; mco=0;elsif min0=1001 then min1=min1+1; min0=0000; else min0=min0+1; end if; end if; end process ; end cml; 秒计数器管脚图 秒代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity second isport(rst,sc

11、lk:in std_logic; sco:out std_logic; sec0,sec1:buffer std_logic_vector(3 downto 0 ) );end second;architecture cml of second issignal sec0_t,sec1_t:std_logic_vector(3 downto 0 ); beginprocess(rst,sclk,sec0,sec1) begin if rst=0 thensec0=0000; sec1=0000; elsif sclkevent and sclk=1 then if sec0=0101 and

12、sec1=1001 then sec0=0000; sec1=0000; sco=1; elsif sec0=0010 and sec0=1001 then sec1=0011; sec0=0000; sco=0;elsif sec0=1001 then sec1=sec1+1; sec0=0000; else sec0=sec0+1; end if; end if; end process ; end cml; 2.4 校时闪烁电路(flashnjiaoshi)假如正在进行校时,flashjiaoshi将实现使目前正在校时项(小时或分钟)以1Hz频率闪烁,方便于操知道正在被校正。 校时闪烁电

13、路管脚图代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity flashjiaoshi isport(rst,sclk,rvs,select_rvs:in std_logic; hour0in,hour1in,min0in,min1in:in std_logic_vector(3 downto 0 ); hour0out,hour1out,min0out,min1out :out std_logic_vector(3 downto 0 ) );end flashjiaoshi;arc

14、hitecture cml of flashjiaoshi is signal h_m:std_logic; begin p1:process(rst,sclk,rvs,hour0in,hour1in,min0in,min1in,h_m) begin if rst=0 then null; elsif rvs=1 then hour0out=hour0in;hour1out=hour1in;min0out=min0in;min1out=min1in; elsif h_m=0 then hour0out=hour0in; hour1out=hour1in; if sclk=1 then min0

15、out=min0in; min1out=min1in; else min0out=1111; min1out=1111; end if;else min0out=min0in; min1out=min1in;IF sCLK=1 then hour0out=hour0in; hour1out=hour1in;else hour0out=1111; hour1out=1111;end if;end if;end process p1;p2:process(select_rvs) beginif select_rvsevent and select_rvs=1 then h_m=not h_m;en

16、d if;end process p2;end cml; 2.5 整点报时电路 整点报时管脚图 代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity baoshi isport( clk1024,clk512 : in std_logic; min0,min1 , sec0,sec1 : in std_logic_vector (3 downto 0); speak : out std_logic); end baoshi;architecture cml of baoshi is

17、beginspeakdata=h1;select_sigdata=h0;select_sigdata=m1;select_sigdata=m0;select_sigdata=s1;select_sigdata=s0;select_sigdata=1000;select_sig=111111; end case;if order=101 then order=000;else orderseg7 seg7 seg7 seg7 seg7 seg7 seg7 seg7 seg7 seg7 seg7 clk1024,clk512=scanCLKSig,clk1=secCLKSig);U2: jiaos

18、hi PORT MAP(rst=reset, rvs=key, select_rvs=keyin(0), mtime=keyin(1), hclkin=hCLKSig0,mclkin=mCLKSig0,hclkout=hCLKSig1,mclkout=mCLKSig1); U3:hour PORT MAP(rst=reset, hCLK=hCLKSig1, hour1=hour1Sig0, hour0=hour0Sig0); U4: minute PORT MAP(rst=reset, mclk=mCLKSig1, mco=hCLKSig0, min1=min1Sig0, min0=min0S

19、ig0); U5: second PORT MAP(rst=reset, sCLK=secCLKSig, sco=mCLKSig0, sec1=sec1Sig, sec0=sec0Sig); U6: flashjiaoshi PORT MAP(rst=reset, sclk=secCLKSig, rvs=key, select_rvs=keyin(0), hour1in=hour1Sig0, hour0in=hour0Sig0, min1in=min1Sig0, min0in=min0Sig0, hour1out=hour1Sig1, hour0out=hour0Sig1, min1out=m

20、in1Sig1, min0out=min0Sig1); U7: xianshi PORT MAP(clk512=scanCLKSig, h1=hour1Sig1, h0=hour0Sig1, m1=min1Sig1, m0=min0Sig1, s1=sec1Sig, s0=sec0Sig, seg7=seg7out, select_sig=select_sigout); u8:baoshi PORT MAP(clk1024=clk1024,clk512=scanCLKSig,sec1=sec1Sig,sec0=sec0Sig, min1=min1Sig0,min0=min0Sig0,speak=speak); END cml;

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服