ImageVerifierCode 换一换
格式:DOC , 页数:22 ,大小:1.07MB ,
资源ID:2727105      下载积分:4 金币
验证码下载
登录下载
邮箱/手机:
验证码: 获取验证码
温馨提示:
支付成功后,系统会自动生成账号(用户名为邮箱或者手机号,密码是验证码),方便下次登录下载和查询订单;
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

开通VIP
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.zixin.com.cn/docdown/2727105.html】到电脑端继续下载(重复下载【60天内】不扣币)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  
声明  |  会员权益     获赠5币     写作写作

1、填表:    下载求助     索取发票    退款申请
2、咨信平台为文档C2C交易模式,即用户上传的文档直接被用户下载,收益归上传人(含作者)所有;本站仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。所展示的作品文档包括内容和图片全部来源于网络用户和作者上传投稿,我们不确定上传用户享有完全著作权,根据《信息网络传播权保护条例》,如果侵犯了您的版权、权益或隐私,请联系我们,核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
3、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据,平台无法对文档的真实性、完整性、权威性、准确性、专业性及其观点立场做任何保证或承诺,下载前须认真查看,确认无误后再购买,务必慎重购买;若有违法违纪将进行移交司法处理,若涉侵权平台将进行基本处罚并下架。
4、本站所有内容均由用户上传,付费前请自行鉴别,如您付费,意味着您已接受本站规则且自行承担风险,本站不进行额外附加服务,虚拟产品一经售出概不退款(未进行购买下载可退充值款),文档一经付费(服务费)、不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
5、如你看到网页展示的文档有www.zixin.com.cn水印,是因预览和防盗链等技术需要对页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有水印标识(原文档上传前个别存留的除外),下载后原文更清晰;试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓;PPT和DOC文档可被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;PDF文档不管是原文档转换或图片扫描而得,本站不作要求视为允许,下载前自行私信或留言给上传者【a199****6536】。
6、本文档所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用;网站提供的党政主题相关内容(国旗、国徽、党徽--等)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
7、本文档遇到问题,请及时私信或留言给本站上传会员【a199****6536】,需本站解决可联系【 微信客服】、【 QQ客服】,若有其他问题请点击或扫码反馈【 服务填表】;文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“【 版权申诉】”(推荐),意见反馈和侵权处理邮箱:1219186828@qq.com;也可以拔打客服电话:4008-655-100;投诉/维权电话:4009-655-100。

注意事项

本文(东北大学秦皇岛分校计算机组成原理优秀课程设计.doc)为本站上传会员【a199****6536】主动上传,咨信网仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知咨信网(发送邮件至1219186828@qq.com、拔打电话4008-655-100或【 微信客服】、【 QQ客服】),核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载【60天内】不扣币。 服务填表

东北大学秦皇岛分校计算机组成原理优秀课程设计.doc

1、东北大学秦皇岛分校计算机和通信工程学院计算机组成原理课程设计指令设计及工作寄存器设计专业名称班级学号学生姓名指导老师设计时间课程设计任务书专业:计算机和通信工程学院 学号: 学生姓名(署名): 设计题目:指令系统及工作寄存器设计1、设计试验条件综合楼808试验室硬件:PC机软件:Xilinx ISE ModelSim编程语言:VHDL2、设计任务及要求1. 指令:7、20、47、60号指令;2. 工作寄存器W;3. 二-十进制编码器;要求:总线结构:单总线,数据总线位数8位、地址总线8位;存放器:内存容量64K*8bit控制器:用硬联线控制器实现26位微操作控制信号运算器:单累加器,实现加、减

2、等8种操作外设:输入:用开关输入二进制量输出:7段数码管和LED显示指令系统规模:64条指令,7种类型,5种寻址方法3、设计汇报内容(1)设计目标:1、 融会贯通计算机组成原理课程内容,经过知识综合利用,加深对计算机系统各个模块工作原理及相互联络认识;2、 学习利用VHDL进行FPGA/CPLD设计基础步骤和方法,熟悉EDA设计、模拟调试工具使用,体会FPGA/CPLD技术相对于传统开发技术优点;3、 培养科学研究独立工作能力,取得工程设计和组装调试实践经验。(2)设计主体: 图1 整机逻辑结构框图 图2 芯片引脚 图3 cpu逻辑结构框图【设计指令系统】(1) 设计指令指令编号指令助记符机器

3、码1机器码2指令功效7ADD A, EM000110MM将存放器MM地址值加入累加器A中20SUB C A, #II010011II从累加器A中减去立即数II,减进位47_INT_101110试验机占用,不可修改,进入中止时,试验机硬件产生_INT_指令60RETI111011中止返回表1 指令类型、寻址方法第7条指令: ADD A, EM指令类型:算术运算指令寻址方法:寄存器寻址和直接寻址第20条指令: SUB C A, #II指令类型:逻辑运算指令寻址方法:存放器直接寻址第47条指令: _INT_指令类型:转移指令寻址方法:寄存器间接寻址第60条指令: RETI指令类型:转移指令寻址方法:

4、寄存器直接寻址(2)控制信号1、XRD : 外部设备读信号,当给出了外设地址后,输出此信号,从指定外设读数据。2、EMWR: 程序存放器EM写信号。3、EMRD: 程序存放器EM读信号。4、PCOE: 将程序计数器PC值送到地址总线ABUS上(MAR)。5、EMEN: 将程序存放器EM和数据总线DBUS接通,由EMWR和EMRD 决定是将DBUS数据写到EM中,还是从EM读出数据送到DBUS。6、IREN: 将程序存放器EM读出数据打入指令寄存器IR。7、EINT: 中止返回时清除中止响应和中止请求标志,便于下次中止。8、ELP: PC打入许可,和指令寄存器IR3、IR2位结合,控制程序跳转。

5、9、FSTC:进位置1,CY=110、 FCLC:进位置0,CY=011、MAREN:将地址总线ABUS上地址打入地址寄存器MAR。12、MAROE:将地址寄存器MAR值送到地址总线ABUS上。13、OUTEN:将数据总线DBUS上数据送到输出端口寄存器OUT里。14、STEN: 将数据总线DBUS上数据存入堆栈寄存器ST中。15、 RRD: 读寄存器组R0-R3,寄存器R?选择由指令最低两位决定。16、 RWR: 写寄存器组R0-R3,寄存器R?选择由指令最低两位决定。17、 CN: 决定运算器是否带进位移位,CN=1带进位,CN=0不带进位。18、 FEN: 将标志位存入ALU内部标志寄存

6、器。19、 WEN: 将数据总线DBUS值打入工作寄存器W中。20、 AEN: 将数据总线DBUS值打入累加器A中。21-23: X2 X0 : X2、X1、X0三位组合来译码选择将数据送到DBUS上寄存器。 24-26: S2 S0 : S2、S1、S0三位组合决定ALU做何种运算。(3)指令实施步骤:表2 指令分解和微操作对应控制信号编号助记符功效机器码周期总数CT节拍数微操作控制信号1_FATCH_取指令000000XXT2PCMARPCOE, MAREN010T1EMWEMEN, EMRD, WENT0WIRPC + 1PCIREN20SUBC A, #II从累加器A中减去间址存放器值

7、,带进位010011XXT5PCMARPCOE MAREN101T4EMDBUSWPC+1PCEMEN EMRD WENT3A,WALUFALUAFEN AEN7ADD A, EM将存放器EM地址值加入累加器A中000110XXT7PCMARPCOE, MARENT6EMWPC+1PCEMEN EMRD WEN111T5WMARMARENT4EMWPC+1PCEMEN EMRD WENT3A+WAS=001 X=100AEN47_INT_产生中止101110XXT4PCDBUSSTPCOE, X=011,STEN PC,IA,ST,IRT3ZPCPC,IA,ST,IR60RETI中止返回111

8、011XXT3STPCST,DBUS,PC,IR步骤图:1、第7条指令 ADD A, EMPCOE, MARENT7 PCMAREMEN EMRD WENT6EMWPC+1PCWMARMARENT5EMWPC+1PCEMEN EMRD WENT4S=001 X=100 AENT3A+WA2、第20条指令SUBC A, #II PCOE MARENEMEN EMRD WENFEN AENT3T5T4A,WALUFALUAEMDBUSWPC+1PCPCMARDI3、第47条指令PCOE, X=011,STEN PC,IA,ST,IRPC,IA,ST,IRT4PCDBUSSTPC,IA,ST,IRT

9、3ZPC 4、第60条指令ST,DBUS,PC,IRT3STPC【模型及实现(工作寄存器W)】(1)逻辑电路图形符号表示: 图4 工作寄存器逻辑电路图图5 工作寄存器RTL逻辑电路图图5 工作寄存器FDC逻辑电路图(2)逻辑电路功效:暂存和传送数据(3)仿真测试:图6 波形分析图 图7 结果显示图结果分析:D为数据输入、R为数据输出、CLK为时序控制、EN为读写控制端、RST为复位端、R为数据输出。所以因为RST为1,即使D端输入数据为:1011,输出端仍为0(从波形能够看出来),EN为0,表示写数据。(4)VDHLM描述以下:library IEEE;use IEEE.STD_LOGIC_1

10、164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;- Uncomment the following library declaration if instantiating- any Xilinx primitives in this code.-library UNISIM;-use UNISIM.VComponents.all;entity REG is PORT (CLK : IN STD_LOGIC ; D : IN STD_LOGIC_VECTOR(15 DOWNTO 0); EN : IN S

11、TD_LOGIC; RST : IN STD_LOGIC; R: OUT STD_LOGIC_VECTOR(15 DOWNTO 0);end REG;architecture Behavioral of REG isSIGNAL Q1 : STD_LOGIC_VECTOR(15 DOWNTO 0); -类似于在芯片内部定义一个数据暂存节点begin PROCESS (CLK,Q1) BEGIN IF (RST = 1) THEN Q1 = 0000; ELSE IF (CLKEVENT AND CLK = 1 )THEN IF(EN = 0) THEN Q1 = D ;ELSE Q1 = XX

12、XXXXXXXXXXXXXX; END IF; END IF; END IF; END PROCESS ; R = Q1 ; end Behavioral;【逻辑功效实现(二-十进制编码器)】(1)二-十进制功效表以下所表示:(2)逻辑电路设计逻辑电路图形符号表示、功效:图8 二-十进制编码器功效将输入数字信号变成对应输出二进制信号系统实现LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;entity SY3is Port ( d : in STD_LOGIC_VECTOR (9 downto 0); c

13、lk : in STD_LOGIC; e : in STD_LOGIC; q : out STD_LOGIC_VECTOR (3 downto 0);end SY3;architecture Behavioral of SY3 isbegin p1:process(d) begin if (d(0)=0 AND e=0) THEN Q=0000; ELSIF (d(1)=0 AND e=0) THEN Q=0001; ELSIF (d(2)=0 AND e=0) THEN Q=0010; ELSIF (d(3)=0 AND e=0) THEN Q=0011; ELSIF (d(4)=0 AND

14、 e=0) THEN Q=0100; ELSIF (d(5)=0 AND e=0) THEN Q=0101; ELSIF (d(6)=0 AND e=0) THEN Q=0110; ELSIF (d(7)=0 AND e=0) THEN Q=0111; ELSIF (d(8)=0 AND e=0) THEN Q=1000; ELSIF (d(9)=0 AND e=0) THEN Q=1001; ELSIF ( e=1) THEN Q=1111; END IF; END PROCESS P1; end Behavioral;(3)仿真测试 仿真过程以下:(1)在sources窗口处右击,加入新源

15、文件(2)创建波形仿真激励文件.tbw:选TestBenchWaveform,并输入文件名(3)初始化时钟周期及相关参数finish(4)右侧会出现.tbw文件窗口,设置输入引脚值,存盘(5)左侧sources窗口选择“behavioralsimulation”,下面processes窗口会自动出现ModelsimSimulator(6)双击其中“Simulatebehavioralmodel”会自动调用“Modelsim”进行仿真,观察波形窗口,观察是否正确 图9 波形显示图图10 二-十进制编码器结果分析图仿真证实:所设计二-十进制编码器能将输入数字信号变成对应输出二进制信号。(4)RTL

16、级逻辑电路图11 RTL级逻辑电路四.心得和体会经过此次课程设计我学习到了利用VHDL进行FPGA/CPLD设计基础步骤和方法,熟悉EDA设计、模拟调试工具使用,体会FPGA/CPLD技术相对于传统开发技术优点,同时培养科学研究独立工作能力,取得工程设计和组装调试实践经验。不过在此过程中也碰到了很多困难,比如在设计开始阶段对于XILINXISE和ModelsimSE这两个软件就不会用,经过和同学交流我大约了解了软件使用方法,学习到了很多知识。五参考资料1袁静波.计算机组成和结构M.北京:机械工业出版社,.8.2程晓荣,翟学明,王晓霞.计算机组成和结构M.北京:中国电力出版社,.3陈耀和.VHDL语言设计技术M.北京:电子工业出版社,.4汉泽西.EDA技术及其应用M.北京:北京航空航天出版社,5李云松,宋锐Xilinx FPGA 数据基础(VHDL)版M 陕西:西安电子科技大学出版社,.

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服