ImageVerifierCode 换一换
格式:DOC , 页数:23 ,大小:468.04KB ,
资源ID:2520277      下载积分:3 金币
验证码下载
登录下载
邮箱/手机:
验证码: 获取验证码
温馨提示:
支付成功后,系统会自动生成账号(用户名为邮箱或者手机号,密码是验证码),方便下次登录下载和查询订单;
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

开通VIP
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.zixin.com.cn/docdown/2520277.html】到电脑端继续下载(重复下载【60天内】不扣币)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  
声明  |  会员权益     获赠5币     写作写作

1、填表:    下载求助     索取发票    退款申请
2、咨信平台为文档C2C交易模式,即用户上传的文档直接被用户下载,收益归上传人(含作者)所有;本站仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。所展示的作品文档包括内容和图片全部来源于网络用户和作者上传投稿,我们不确定上传用户享有完全著作权,根据《信息网络传播权保护条例》,如果侵犯了您的版权、权益或隐私,请联系我们,核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
3、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据,平台无法对文档的真实性、完整性、权威性、准确性、专业性及其观点立场做任何保证或承诺,下载前须认真查看,确认无误后再购买,务必慎重购买;若有违法违纪将进行移交司法处理,若涉侵权平台将进行基本处罚并下架。
4、本站所有内容均由用户上传,付费前请自行鉴别,如您付费,意味着您已接受本站规则且自行承担风险,本站不进行额外附加服务,虚拟产品一经售出概不退款(未进行购买下载可退充值款),文档一经付费(服务费)、不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
5、如你看到网页展示的文档有www.zixin.com.cn水印,是因预览和防盗链等技术需要对页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有水印标识(原文档上传前个别存留的除外),下载后原文更清晰;试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓;PPT和DOC文档可被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;PDF文档不管是原文档转换或图片扫描而得,本站不作要求视为允许,下载前自行私信或留言给上传者【精***】。
6、本文档所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用;网站提供的党政主题相关内容(国旗、国徽、党徽--等)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
7、本文档遇到问题,请及时私信或留言给本站上传会员【精***】,需本站解决可联系【 微信客服】、【 QQ客服】,若有其他问题请点击或扫码反馈【 服务填表】;文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“【 版权申诉】”(推荐),意见反馈和侵权处理邮箱:1219186828@qq.com;也可以拔打客服电话:4008-655-100;投诉/维权电话:4009-655-100。

注意事项

本文(定点补码一位乘法器的设计与实现.doc)为本站上传会员【精***】主动上传,咨信网仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知咨信网(发送邮件至1219186828@qq.com、拔打电话4008-655-100或【 微信客服】、【 QQ客服】),核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载【60天内】不扣币。 服务填表

定点补码一位乘法器的设计与实现.doc

1、定点补码一位乘法器的设计与实现 作者: 日期:I 个人收集整理 勿做商业用途课 程 设 计 报 告 课程设计名称:计算机组成原理课程设计 课程设计题目:定点补码一位乘法器的设计与实现院(系):计算机学院专 业:计算机科学与技术班 级:学 号:姓 名: 指导教师:完成日期:2012年1月13日目 录第1章 总体设计方案11。1 设计原理11。2 设计思路11。3 设计环境2第2章 详细设计方案32。1 顶层方案图的设计与实现32.1.1创建顶层图形设计文件32.1.2器件的选择与引脚锁定42.1。3编译、综合、适配52.2 功能模块的设计与实现52.2。1 取补模块的设计与实现52.2.2选择器

2、模块的设计与实现72.2。3 乘数补码移位寄存器模块的设计与实现112.2。4 部分积移位寄存器模块的设计与实现132.3 仿真调试14第3章 编程下载与硬件测试16参考文献17附 录(电路原理图)18-19-第1章 总体设计方案1.1 设计原理 在计算两个补码相乘时,可以通过Booth算法来实现定点补码一位乘的功能.布斯(Booth)算法采用相加和相减的操作计算补码数据的乘积,Booth算法对乘数从低位开始判断,根据后两个数据位的情况决定进行加法、减法还是仅仅进行移位操作。讨论当相乘的两个数中有一个或二个为负数的情况,在讨论补码乘法运算时,对被乘数或部分积的处理上与原码乘法有某些类似,差别仅

3、表现在被乘数和部分积的符号位要和数值一起参加运算.Booth乘法规则如下:假设X、Y都是用补码形式表示的机器数,X补和Y补=Ys.Y1Y2Yn,都是任意符号表示的数。比较法求新的部分积,取决于两个比较位的数位,即Yi+1Yi的状态。首先设置附加位Yn+1=0,部分积初值Z0补=0.当n0时,判断YnYn+1,若YnYn+1=00或11,即相邻位相同时,上次部分积右移一位,直接得部分积。若YnYn+1=01,上次部分积加X补,然后右移一位得新部分积。若YnYn+1=10,上次部分积加-X补,然后右移一位得新部分积。当n=0时,判YnYn+1(对应于Y0Y1),运算规则同(1)只是不移位。即在运算

4、的最后一步,乘积不再右移。1。2 设计思路 首先要采用原码值输入,乘数和被乘数皆为8位。而且根据补码一位乘法运算规则:(1) 如果 yn = yn+1,部分积 zi 加0,再右移一位;(2) 如果 yn yn+1 = 01,部分积加 x 补,再右移一位;(3) 如果 yn yn+1 = 10,部分积加 x补,再右移一位;这样重复进行 n+1 步,但最后一步不移位.包括一位符号位,所得乘积为 2n+1 位,其中 n 为尾数位数。 设计一个二输入三选一选择器对可能的三种情况进行选择。当选择器中输入为Yi Yi+1为00或者11时,由一寄存器一端接GND,另一端对其进行零输入;当选择器中输入为Yi

5、Yi+1为01时,对其进行X补输入;当选择器中输入为Yi Yi+1为10时,对X补输入端加一非门和一加法器对其进行取反加1输入.输出结果与一个一端接GND初始置零的寄存器相连接于一个加法器,实现部分积加法运算;计算结果存放于两个相同的移位寄存器中,当部分积相加之后,由两个移位寄存器同时对部分积的和进行移位操作。最后由两个移位寄存器的输出端连接至选择器重新选择进行循环操作,直到部分积移位结束.定点补码一位乘法器的设计总框图如图1.1所示。图1.1 定点补码一位乘法器的设计总框图1。3 设计环境硬件环境:伟福COP2000型计算机组成原理实验仪、XCV200实验板、微机;EDA环境:Xilinx

6、foundation f3.1设计软件、COP2000仿真软件。第2章 详细设计方案2.1 顶层方案图的设计与实现顶层方案图是用来实现补码一位乘法器乘数与被乘数的输入和取补,以及结果的寄存和输出、二输入三输出选择器和运算控制电路、移位电路等逻辑功能,采用原理图设计输入方式完成,电路实现基于XCV200可编程逻辑芯片。在完成原理图的功能设计后,把输入以及输出信号安排到XCV200指定的引脚上去,实现芯片的引脚锁定.2.1.1创建顶层图形设计文件顶层图形文件的设计实体主要由取补电路(封装为QUBU),二输入三输出选择器(基于D2-4E的改装),乘数移位寄存器(封装为U11),部分积移位寄存器(基于

7、FD实现),加法器(基于ADD8的改装),等模块组装而成的一个完整的可编程逻辑芯片U30。顶层图形文件结构如图2。1所示: 图2.1 顶层图形文件结构图 2。1。2器件的选择与引脚锁定(1)器件的选择由于硬件设计环境是基于伟福COP2000型计算机组成原理实验仪和XCV200实验板,故采用的目标芯片为Xilinx XCV200可编程逻辑芯片。(2)引脚锁定把顶层图形文件中的所有输入、输出信号对应到Xilinx XCV200芯片指定的引脚上去,实现芯片的引脚锁定,各信号及Xilinx XCV200芯片引脚对应关系如表2.1所示:表2.1 信号和芯片引脚对应关系图形文件中的输入/输出信号XCV20

8、0芯片引脚信号GRDP50ZCLOCKP213FJWP47CLRP49VCCP48S1P80S2P81S3P82S4P84S5P85S6P86S7P87Y1P95Y2P96Y3P97Y4P100Y5P101Y6P102Y7P103E0P63E1P73E2P72E3P71E4P70E5P66E6P65E7P642。1。3编译、综合、适配利用Xilinx foundation f3。1的原理图编辑器对顶层图形文件进行编译,并最终生成网络表文件,利用设计实现工具经综合、优化、适配,生成可供时序仿真的文件和器件下载编程文件。2。2 功能模块的设计与实现功能模块主要由取补电路,二输入三输出选择器,移位寄

9、存器,部分积移位寄存器等模块组成,由Xilinx XCV200可编程逻辑芯片分别实现。2。2.1 取补模块的设计与实现进行求补的方法就是从数的最右端开始,由右向左,直到找出第一个“1,例如,.则以左的每一个输入位都求反,即1变0,0变1。最右端的起始链式输入必须永远置成“0。当控制信号线为“1”时,启动对2求补的操作;当控制信号线为“0”时,输出将和输入相等。可以利用符号位来作为控制信号。(1) 创建求乘数补码电路模块设计原理图乘数求补电路原理结构如图2.1所示:图2.1 乘数求补电路原理结构图(2) 创建元件图形符号 其元件图形符号如图2.2所示:图2。2 求补电路模块元件图形符号(3)功能

10、仿真对创建的取补模块进行功能仿真,验证其功能的正确性,可用Xilinx foundation f3.1编译器的Simulator模块实现。仿真结果如图2。3所示:图2。3 取补电路模块仿真结果2。2.2选择器模块的设计与实现选择器主要由一个D2-4E芯片和逻辑门电路控制选择输出。输入端输入的值分别为,以及“00000000”(八个输入端为一组)。D24E芯片的和端的“0”和“1控制,当为01时,输出为高电平,即为输出值为1,通过逻辑门电路实现输出为的值;当为10时,输出为为 高电平,即为输出值为1,通过逻辑门电路实现输出为的值;当为00时,输出为为 高电平,即为输出值为1,同时当为11时,输出

11、为为 高电平,即为输出值为1,由于此时和输出值为相同,故此两条数据线通过一个或门输出一条数据线,此时输出值为“00000000”.正好实现三输入一输出的选择器模块。(1) 创建选择器设计原理图三输入一输出选择器原理结构如图2.4所示:图2。4 选择器原理框图 (2) 创建元件图形符号 其元件图形符号如图2.5所示 图2。5 选择器元件图形符号(3)功能仿真对创建的三输入一输出器模块进行功能仿真,验证其功能的正确性,可用Xilinx foundation f3.1编译器的Simulator模块实现.仿真结果如图2.6所示: 图2.6 选择模块仿真结果图2.2。3 乘数补码移位寄存器模块的设计与实

12、现乘数补码移位寄存器模块由八个二选一选择器(MUXCY),9个寄存器(FD)组成,端输入的是1个低电平信号其余都为高电平信号。MUXCY选择器由控制信号端,输入端和,以及输出端组成,当端为低电平信号时,选择输出值,当端为高电平信号时,选择输出值。每次的输出信号寄存到FD中,MUXCY输出端连接下一位的寄存器,这样就实现了移位寄存的功能.移位的和两个输出端恰好为和的两个值,和的两个值要分别接到选择器的和端.(1) 创建乘数补码移位寄存器模块设计原理图。 乘数补码移位寄存器原理结构如图2.7所示:图2。7 乘数补码移位寄存器原理结构图(2)创建元件图形符号其元件图形符号如图2.8所示: 图2.8

13、乘数补码移位寄存器电路模块元件图形符号(3)功能仿真对创建的乘数补码移位寄存器模块进行功能仿真,验证其功能的正确性,可用Xilinx foundation f3。1编译器的Simulator模块实现.仿真结果如图2.9所示:图2。9 乘数补码移位寄存器模块仿真结果2.2.4 部分积移位寄存器模块的设计与实现部分积移位寄存器模块是由一个8位寄存器(FD8CE)和四个逻辑门电路组成,实现部分积移位寄存功能.由于部分积的初始值为“00000000”,这样就要求FD8CE寄存器的初始值为“00000000”,只需要给清零端一个高电平的信号即可实现。进行部分积移位时,要求移位过程中保证符号位相同,这样就

14、需要把第一位符号位复制为两个数,而其余的6位相继向下串一位,这样就实现了移位功能,同时还保证的符号位相同。(1)部分积移位寄存器设计原理图。 部分积移位寄存器原理结构如图2。10所示:图2.10 部分积移位寄存器原理图(2)功能仿真对创建的寄存器模块进行功能仿真,验证其功能的正确性,可用Xilinx foundation f3.1编译器的Simulator模块实现。仿真结果如图2。11所示:图2。11 部分积移位寄存器仿真结果2.3 仿真调试仿真调试主要验证设计电路逻辑功能、时序的正确性,本设计中主要采用功能仿真方法对设计的电路进行仿真.通过多组数据进行仿真测试,分别对两个正数相乘,一个正数与

15、一个负数相乘,两个负数相乘结果进行检验。(1)建立仿真波形文件及仿真信号选择功能仿真时,首先建立仿真波形文件,选择仿真信号,对选定的输入信号设置参数,选定仿真信号和设置参数.(2)功能仿真结果与分析仿真结果分别如图2。12、所示。 图2.12 功能仿真波形结果由图2.12所示信息对比可知,多组仿真都完全正确,说明本设计能实现补码一位乘法计算功能。第3章 编程下载与硬件测试利用COP2000仿真软件的编程下载功能,将得到。bit文件下载到XCV200实验板的XCV200可编程逻辑芯片中。由于此次编译有点问题,最终没能成功下载到实验版的XCV200可编程逻辑芯片中。参考文献 1 曹昕燕。 EDA技

16、术实验与课程设计M。北京:清华大学出版社,20062 范延滨。微型计算机系统原理、接口与EDA设计技术M。北京:北京邮电大学出版社,20063唐朔飞.计算机组成原理(第2版)M.北京:高等教育出版社,2008附 录(电路原理图)课程设计总结:经过为期两周的课程设计,我真的受益匪浅。我的课设题目是定点补码一位乘法器的设计与实现。但当我安装Xilinx foundation f3。1设计软件的时候,我意识到了并没有那么简单。于是,我通过网上的查询以及和同学的探讨,初步掌握了该软件的运用方式,基本能够找到设计电路所需要的芯片。之后,在进行封装的时候,我又遇到了新的问题,通过同学的帮助,我终于掌握了封

17、装的方法。在设计乘数补码移位寄存器模块没有找到八个二选一选择器(MUXCY),最后我终于在图书馆806的电脑上找到了这个元件。当设计的四个模块均已设计完成时,终于松了一口气,已经成功一半了,接着我便一鼓作气将各个部件连到总的电路图上,这个过程也真是很痛苦,最开始输出时一直是高阻态,不过,功夫不负有心人。终于,在老师和同学的帮助下,我学会了检查电路的方法,并很快找到了问题所在,最总仿真成功,实现定点补码一位乘法器的功能。在课设期间,最要感谢的就是我的老师和同学,在我遇到问题时,是他们鼓励我克服困难,并帮我一起研讨出错的地方,告诉我如何检测问题所在,多亏了他们,才使得我顺利完成定点补码一位乘法器的设计.指导教师评语:指导教师(签字): 年 月 日课程设计成绩

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服