ImageVerifierCode 换一换
格式:DOCX , 页数:21 ,大小:73.95KB ,
资源ID:2475785      下载积分:3 金币
验证码下载
登录下载
邮箱/手机:
验证码: 获取验证码
温馨提示:
支付成功后,系统会自动生成账号(用户名为邮箱或者手机号,密码是验证码),方便下次登录下载和查询订单;
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

开通VIP
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.zixin.com.cn/docdown/2475785.html】到电脑端继续下载(重复下载【60天内】不扣币)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  
声明  |  会员权益     获赠5币     写作写作

1、填表:    下载求助     索取发票    退款申请
2、咨信平台为文档C2C交易模式,即用户上传的文档直接被用户下载,收益归上传人(含作者)所有;本站仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。所展示的作品文档包括内容和图片全部来源于网络用户和作者上传投稿,我们不确定上传用户享有完全著作权,根据《信息网络传播权保护条例》,如果侵犯了您的版权、权益或隐私,请联系我们,核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
3、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据,平台无法对文档的真实性、完整性、权威性、准确性、专业性及其观点立场做任何保证或承诺,下载前须认真查看,确认无误后再购买,务必慎重购买;若有违法违纪将进行移交司法处理,若涉侵权平台将进行基本处罚并下架。
4、本站所有内容均由用户上传,付费前请自行鉴别,如您付费,意味着您已接受本站规则且自行承担风险,本站不进行额外附加服务,虚拟产品一经售出概不退款(未进行购买下载可退充值款),文档一经付费(服务费)、不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
5、如你看到网页展示的文档有www.zixin.com.cn水印,是因预览和防盗链等技术需要对页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有水印标识(原文档上传前个别存留的除外),下载后原文更清晰;试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓;PPT和DOC文档可被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;PDF文档不管是原文档转换或图片扫描而得,本站不作要求视为允许,下载前自行私信或留言给上传者【快乐****生活】。
6、本文档所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用;网站提供的党政主题相关内容(国旗、国徽、党徽--等)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
7、本文档遇到问题,请及时私信或留言给本站上传会员【快乐****生活】,需本站解决可联系【 微信客服】、【 QQ客服】,若有其他问题请点击或扫码反馈【 服务填表】;文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“【 版权申诉】”(推荐),意见反馈和侵权处理邮箱:1219186828@qq.com;也可以拔打客服电话:4008-655-100;投诉/维权电话:4009-655-100。

注意事项

本文(EDA-电子琴课程设计.docx)为本站上传会员【快乐****生活】主动上传,咨信网仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知咨信网(发送邮件至1219186828@qq.com、拔打电话4008-655-100或【 微信客服】、【 QQ客服】),核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载【60天内】不扣币。 服务填表

EDA-电子琴课程设计.docx

1、EDA_电子琴课程设计EDA_电子琴课程设计 编辑整理:尊敬的读者朋友们:这里是精品文档编辑中心,本文档内容是由我和我的同事精心编辑整理后发布的,发布之前我们对文中内容进行仔细校对,但是难免会有疏漏的地方,但是任然希望(EDA_电子琴课程设计)的内容能够给您的工作和学习带来便利。同时也真诚的希望收到您的建议和反馈,这将是我们进步的源泉,前进的动力。本文可编辑可修改,如果觉得对您有帮助请收藏以便随时查阅,最后祝您生活愉快 业绩进步,以下为EDA_电子琴课程设计的全部内容。基于VHDL文本输入法的电子琴电路设计EDA课程设计基于VHDL文本输入法的电子琴电路设计摘 要:本课程设计主要采用EDA技术

2、设计一个简易的八音符电子琴,它可通过按键输入来控制音响从而演奏出已存入的乐曲。在课程设计中,系统开发平台为Windows 8,程序设计采用VHDL语言,程序运行平台为Quartus.然后编写程序实现电子琴的各项功能,使不同的音阶对应不同频率的正弦波,按下不同的键时发出对应频率的声音。程序通过调试运行,时序仿真,电路功能验证,顺利地实现了设计目标。关键词 :电子琴,EDA,VHDL,音阶,频率1 引 言 随着信息科学的进步,现代电子产品的性能越来越高,复杂度越来越大,更新步伐也越来越快,电子技术的发展进入了划时代的阶段。其中电子技术的核心便是电子设计自动化EDA(Electronic Desig

3、n Automatic)技术。EDA是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术的最新成果而开发出的电子CAD通用软件包,它根据硬件描述语言HDL完成的设计文件,自动完成逻辑编译、化简、分割、综合、优化、布局布线及仿真,直至完成对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。本课程设计就是采用EDA技术描述语言VHDL编程实现简易电子琴的各项功能,是EDA技术应用于实际的一个很好的例子。1.1 课程设计目的 本课程设计主要是基于VHDL文本输入法设计乐曲演奏电路,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,通过按键输入来控制音响或者自动演奏已存

4、入的歌曲.系统由乐曲自动演奏模块、音调发生模块和数控分频模块三个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、电路功能验证,奏出美妙的乐曲。该设计最重要的一点就是通过按键控制不同的音调发生,每一个音调对应不同的频率,从而输出对应频率的声音。1。2 课程设计内容(1)设计一个简易的八音符电子琴,它可通过按键输入来控制音响。(2)系统演奏时可以选择是手动演奏(由键盘输入)还是自动演奏已存入的乐曲。(3)能够自动演奏多首乐曲,且乐曲可重复演奏。1。3 课程设计原理本课程设计目的在于灵活运用EDA技术编程实现一个简易电子琴的乐曲演奏,它要求在实验箱上构造一个电

5、子琴电路,不同的音阶对应不同频率的正弦波。按下每个代表不同音阶的按键时,能够发出对应频率的声音。故系统可分为乐曲自动演奏模块(AUTO)、音调发生模块(TONE)和数控分频模块(FENPIN)三部分。系统的整体组装设计原理图如图1-1所示. 图11 系统的整体组装设计原理图由于设计分模块组成,每个单独的模块都是一个完整的源程序,分别实现不同性质的功能,但是每个模块又是紧密关联的,前一个模块的输出很可能是后一模块的输入.如AUTO模块的音符信号输出就是TONE模块的音符信号输入.另外,时钟脉冲信号在本课程设计中用的最多,用处也最大,一般情况下时钟信号处上升沿有效,判断和控制各个计数器计数多少.2

6、 EDA、VHDL简介 EDA技术是以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计.EDA可提供文本输入以及图形编辑的方法将设计者的意图用程序或者图形方式表达出来,而我们经常用到的VHDL语言便是用于编写源程序所需的最常见的硬件描述语言(HDL)之一.2。1 EDA技术 EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD、CAM、CAT和CAE的概念发展而来的。EDA技术是电子设计的发展趋势,利用EDA工具可以代替设计者完成电子系统设计中的大部分工作。EDA工具从数字系统设计的

7、单一领域,发展到今天,应用范围已涉及模拟、微波等多个领域,可以实现各个领域电子系统设计的测试、设计方针和布局布线等。 现在对EDA的概念或范畴用得很宽,包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA主要辅助进行三个方面的设计工作:IC设计、电子电路设计和PCB设计。2.2 VHDL语言常用硬件描述语言有VHDL、Verilog和ABEL语言,而VHDL主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特征的语句外,VHDL的结构特点是将设计实体的内部功能和算法完成部分。相对于其他硬件语言,VHDL有许多优点。比如VHDL的

8、行为描述能力更强,而且具有丰富的仿真语句和库函数,随时可对系统进行仿真模拟,使设计者对整个工程的结构和功能可行性做出判断;另外,由于具有类属描述语句和子程序调用等功能,对于完成的设计,在不改变源程序的条件下,只需改变类属参量和函数,就能轻易地改变设计的规模和结构。3 简易电子琴的设计过程根据系统设计要求,系统该系统基于计算机中时钟分频器的原理,设计采用自顶向下的设计方法,通过按键输入来控制音响或者自动演奏已存入的歌曲。它由乐曲自动演奏模块、音调发生模块和数控分频模块三部分组成。3。1 乐曲自动演奏模块乐曲自动演奏模块的作用是产生8位发生控制输入信号.当进行自动演奏时,由存储在此模块的8位二进制

9、数作为发声控制输入,从而自动演奏乐曲。该模块的VHDL源程序主要由3个工作进程组成,分别为PULSE0,MUSIC和COM1。PULSE0的作用是根据键盘输入(自动演奏)的值(0或1)来判断计数器COUNT以及脉冲CLK2的输出值。部分源程序如下: PULSE0:PROCESS(CLK,AUTO) 工作进程开始 VARIABLE COUNT:INTEGER RANGE 0 TO 8; 定义计数器变量,值从0到8 IF AUTO=1THEN -键盘输入为1 COUNT:=0;CLK2=0; -计数器值指0,时钟信号为0 ELSIF(CLKEVENT AND CLK=1)THEN -时钟输入信号为

10、1 COUNT:=COUNT+1; -计数器加1当确定了时钟信号输出的值后,在第二个PROCESS中就可以由它控制8位发声控制输入信号了。即CLK2的值为0时,COUNT0为1.最后的COM1便是由前两个PROCESS所确定的COUNT0、AUTO和键盘输入信号值INDEX2将8位的二进制数转化为音符信号的输出,达到自动演奏的目的。部分源程序如下:IF AUTO=0THENCASE COUNT0 IS WHEN 0=INDEX0INDEX0TONE0=912;CODE=0010010”;HIGHTONE0TONE0=2047;CODE=0000001”;HIGH=0;显然,该模块最主要的作用就

11、是给音符输入预设频率值,因为,电子琴最终实现乐曲演奏就是输出不同频率的正弦波,此模块就是将二进制发声信号转化为对应的频率.3。3 数控分频模块数控分频模块是对时基脉冲进行分频,得到与0、1、2、3、4、5、6、7八个音符相对应的频率.FENPIN模块的源程序符号编辑图如图3-3。该模块主要由4个工作进程组成。首先,根据系统时钟信号的输入得到时基脉冲以及计数器的值,而时钟信号在AUTO模块中便已给出,两者之间的设置关系类似于AUTO模块中第一个工作进程的设置.第二个PROCESS是此模块的核心,即由时基脉冲值转化为音符的频率。部分源程序如下:VARIABLE COUNT11:INTEGER RA

12、NGE 0 TO 2047; -定义频率计数器,从0到2047Hz IF(PRECLKEVENT AND PRECLK=1)THEN -时基脉冲为1时 IF COUNT11TONE1 THEN -频率计数器小于音符分频系数 COUNT11:=COUNT11+1;FULLSPKS=1; -计数加1,扬声器音频为1 ELSE COUNT11:=0;FULLSPKS=0;最后一个PROCESS则是用来设置扬声器输出信号的,扬声器信号由0和1控制,当且仅当前一个PROCESS中的FULLSPKS输出为1时,扬声器才有输出,再根据计数器取值来确定输出是1还是0.部分源程序如下:IF(FULLSPKSEV

13、ENT AND FULLSPKS=1)THEN 扬声器音频信号为1COUNT2:=NOT COUNT2;IF COUNT2=1THENSPKS=1; 扬声器输出为1ELSE SPK=0; 3。4 顶层设计该DIANZIQIN模块是整个电子琴设计的核心,也是VHDL程序的主程序,前面3个源程序都是作为子程序分别实现电子琴的某一功能,而DIANZIQIN模块则通过调用子程序最终实现乐曲演奏的目的,奏出美妙的乐曲。利用VHDL语言COMPONENT将三个模块组合起来,其中3个模块和DIANZIQIN模块的输入输出是一一对应的,比如AUTO对应HANDTOAUTO,TONE0对应TONE2,SPKS对

14、应SPKOUT等,该模块的符号编辑图如图3-4。图3-4 DIANZIQIN模块的符号编辑图该图描述的是DIANZIQIN模块输入输出的变量表示,整个系统的整体组装设计原理图就是这4幅编辑图按输入输出关系顺序连接而成的.4 系统仿真系统仿真是在实际系统上进行实验研究比较困难时适用的必不可少的工具,它是指通过系统模型实验去研究一个已经存在或正在设计的系统的过程,通俗地讲,就是进行模型实验。因而,系统仿真的结果决定整个课程设计任务完成的到位程度。4。1 时序仿真编译完成后,可以对所进行的设计进行仿真,下面简单介绍一下仿真的步骤。(1) 打开波形编辑器,列出所有的信号,选择所需要的信号,然后画出输入

15、信号的波形,最后将该文件以.scf的扩展名存盘。 (2) 在Quartus菜单中打开仿真器窗口,单击Start按钮,当仿真器结束工作时,单击Open SCF按钮,就可以看到仿真的结果。本课程设计的仿真平台是Quartus,通过对VHDL源程序进行编译检错,然后创建波形文件(后缀名为。scf),加入输入输出变量,设置好输入初值进行仿真,得到仿真波形图。由于电子琴4个模块都是独立的程序,可分别对各个程序仿真,验证输入输出的正确性,但在最终能演奏出乐曲的则是顶层设计模块。(1) 乐曲自动演奏模块的仿真如图4-1所示。图41 乐曲自动演奏模块的仿真图该图输入系统时钟信号CLK初值设为0,自动演奏AUT

16、O设为1,键盘输入信号INDEX2为00,INDEX0为音符信号输出,是8位的二进制代码它根据COUNT0的值改变而改变。(2) 音调发生模块的仿真如图42所示。图42 音调发生模块的仿真图 该图输入音符信号INDEX初值为00,输出是音符显示信号CODE为01,高低音显示信号为0,音符的分频系数为11111111即2047Hz.(3) 数控分频模块的仿真如图4-3所示。图4-3 数控分频模块的仿真图该图输入系统时钟信号CLK1初值为0(各输出值都是在时钟信号的下降沿有效),音符分频系数TONE1为00100000即1290Hz,驱动扬声器的音频信号SPKS输出为1。(4) 简易电子琴整个系统

17、的仿真如图44所示. 图43 简易电子琴整个系统的仿真图该图输入系统时钟信号CLK32MHZ初值为0,自动演奏信号HANDTOAUTO初值为0,键盘输入信号INDEX1为00000000;输出音符信号CODE1则为0110000,高低音节信号HIGH1变为1,即高音,音频信号SPKOUT即输出0,输出为1时CODE1变为0110100,这时出现10ns的延时。在仿真时由于系统各方面原因影响,出现延时属于正常现象。4。2 电路功能验证 如果说前面的过程都是理论上进行软件设计制作,那么电路验证则是硬件产生实际结果的必要步骤,它是软件编程导入硬件系统得到最终设计目标的一个过程。此课程设计中主要用到的

18、硬件设施有cycloneEP2C8T144C8 芯片、信号源、扬声器、键盘或脉冲开关、发光二极管等,硬件和软件系统相连接的枢纽就是芯片引脚和VHDL主程序中所有输入输出之间对应的关系,它们满足:CLK7引脚、HANDTOAUTO45、INDEX1046、INDEX1147、INDEX1253、INDEX1354、INDEX1455、INDEX1556、INDEX1657、HIGH119、SPKOUT68引脚。在选择好芯片以及设置引脚值后,下载源程序(后缀名。sof)到此芯片上,确认编程器硬件是否已安装好。按如下步骤打开编程器窗口:在quartus菜单中选择Programmer项,点击Confi

19、gure项将配置数据下载到一个FLEX器件中。再次对源程序进行编译导入程序然后运行,扬声器发出初设的音符3,5音即报警。手按键盘或拨脉冲开关改变输入信号则扬声器发出对应的频率的声音,键盘(开关)是与芯片引脚对应相连的。4.3 问题分析在整个课程设计中,不可避免遇到很多难于解决的问题,一来是对EDA技术的不太了解,初涉VHDL语言以致很多语法和语言基本结构、算法生疏,运用不灵活,在编写源程序上遇到很大难题,而且在编译运行程序时对出错的语句理解不到位,难于下手修改错误语句,这使得在设计程序时遇到很大的阻碍,此外,VHDL语言的数据类型很容易造成混淆,比如IN STD_LOGIC_VECTOR(7

20、DOWNTO 0)语句,它有时既可以认为是从7下降到0,也可认为是从0上升到7,当输入有多位时,这就容易造成在读懂仿真波形图时读位数倒置,使仿真现象与理论结果相出入。5 结束语通过这次VHDL课程设计,不仅增强了我们的实践动手能力,也让我们对课堂上所学到的理论知识的理解加深了许多,这给我们提供了一个在学习生活中很难得的理论联系实际的机会。能够借此机会了解到部分EDA技术的知识和学习运用其中一种硬件描述语言VHDL编程实现各种常用器件的功能,这是在哪堂讲课上都得不到的一笔财富。另一方面我们也发现了在平时学习过程中难于发现的许多缺点跟不足.比如实践机会过少,所学的理论知识不能灵活运用,在遇到实际的

21、问题时无法正确处理;再者在课堂上获得的专业知识过于浅显,很多的有关基本操作原理、操作方法都理解不了;课外知识了解的也过少,导致在课程设计初期,面对完全陌生的设计课题无从下手,不知所措。这就提醒我们在平时的学习生活中不能一味埋头于面前的课本知识,毕竟当今社会竞争越发激烈,而学校能教授的东西有限,要想在人才市场中脱颖而出就只能靠我们自己。当然,在学习之余我们更应该积极参加各种有关专业知识的实践活动和比赛,巩固所学理论,多注意培养初步的实际工作能力和专业技术能力,这样在以后的工作岗位上不会显得那么仓促与生疏。附录1:乐曲自动演奏源程序清单-程序名称:AUTO。VHD-程序功能:采用VHDL语言编程产

22、生8位发声控制输入信号。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH。ALL;USE IEEE.STD_LOGIC_UNSIGNED。ALL;ENTITY AUTO IS PORT(CLK: IN STD_LOGIC; -系统时钟信号 AUTO: IN STD_LOGIC; 键盘输入/自动演奏 CLK2: BUFFER STD_LOGIC; -时钟输出 INDEX2: IN STD_LOGIC_VECTOR(7 DOWNTO 0); -键盘输入信号 INDEX0: OUT STD_LOGIC_VECTOR(7

23、 DOWNTO 0)); -音符信号输出END AUTO;ARCHITECTURE BEHAVIORAL OF AUTO IS SIGNAL COUNT0:INTEGER RANGE 0 TO 31; -定义信号计数器,有32个信号元素BEGINPULSE0:PROCESS(CLK,AUTO) -PULSE0工作进程开始 VARIABLE COUNT:INTEGER RANGE 0 TO 8; -定义变量计数器,从0到8BEGIN IF AUTO=1THEN -键盘输入为1 COUNT:=0;CLK2=0; 计数器值为0,时钟信号2幅值为0 ELSIF(CLKEVENT AND CLK=1)T

24、HEN -输入的时钟信号为其他值 COUNT:=COUNT+1; -计数器加1即为1 IF COUNT=4 THEN CLK2=1; ELSIF COUNT=8 THEN CLK2INDEX0INDEX0INDEX0=00000100; -3 WHEN 3=INDEX0=00000100”; 3 WHEN 4=INDEX0=”00010000”; 5 WHEN 5=INDEX0INDEX0INDEX0=00100000”; -6 WHEN 8=INDEX0=”10000000; 8 WHEN 9=INDEX0INDEX0=”00000100; -3 WHEN 12=INDEX0=0000001

25、0”; -2 WHEN 13=INDEX0INDEX0=”00000001”; -1 WHEN 15=INDEX0=”00000001”; -1 WHEN 16=INDEX0=”00010000; 5 WHEN 17=INDEX0=00010000”; 5 WHEN 18=INDEX0INDEX0=00001000”; -4 WHEN 20=INDEX0=00001000”; -4 WHEN 21=INDEX0INDEX0INDEX0=”00000010”; -2 WHEN 24=INDEX0=00010000”; -5 WHEN 25=INDEX0=00010000; -5 WHEN 26=

26、INDEX0=”00001000”; -4 WHEN 27=INDEX0INDEX0=00000100”; 3 WHEN 29=INDEX0INDEX0=00000010”; -2 WHEN 31=INDEX0=00000010; -2WHEN OTHERS=NULL;END CASE;ELSE INDEX0=INDEX2; -将音符信号0的值赋给音符信号2END IF;END PROCESS;END BEHAVIORAL;附录2:音调发生源程序清单-程序名称:TONE。VHD程序功能:采用VHDL语言编程产生音阶的分频预置值。LIBRARY IEEE;USE IEEE。STD_LOGIC_1

27、164。ALL;USE IEEE。STD_LOGIC_ARITH。ALL;USE IEEE。STD_LOGIC_UNSIGNED。ALL;ENTITY TONE ISPORT(INDEX: IN STD_LOGIC_VECTOR(7 DOWNTO 0); -音符输入信号 CODE: OUT STD_LOGIC_VECTOR(6 DOWNTO 0); -音符显示信号 HIGH: OUT STD_LOGIC; -高低音显示信号 TONE0:OUT INTEGER RANGE 0 TO 2047); -音符的分频系数END TONE;ARCHITECTURE ART OF TONE ISBEGINS

28、EARCH:PROCESS(INDEX) BEGINCASE INDEX ISWHEN00000001”=TONE0=773;CODE=”1001111;HIGH=1; 分频系数773Hz,音符显示1001111,显示低音WHEN”00000010”=TONE0=912;CODETONE0=1116;CODE=1001100”;HIGHTONE0=1197;CODE=0100100”;HIGH=1;WHEN00100000”=TONE0=1290;CODE=”0100000;HIGH=0;WHEN”01000000=TONE0=1372;CODE=0001111”;HIGH=0;WHEN100

29、00000”=TONE0=1410;CODE=0000000;HIGHTONE0=2047;CODE=”0000001”;HIGH=0;END CASE;END PROCESS;END ART;附录3:数控分频源程序清单-程序名称:FENPIN.VHD程序功能:采用VHDL语言编程对时基脉冲进行分频,得到8个音符相对应的频率.LIBRARY IEEE;USE IEEE.STD_LOGIC_1164。ALL;USE IEEE。STD_LOGIC_ARITH.ALL;USE IEEE。STD_LOGIC_UNSIGNED。ALL;ENTITY FENPIN ISPORT(CLK1: IN STD_

30、LOGIC; TONE1: IN INTEGER RANGE 0 TO 2047; 系统时钟信号 SPKS: OUT STD_LOGIC); -音符分频系数END ENTITY FENPIN; -驱动扬声器的音频信号ARCHITECTURE ART OF FENPIN IS SIGNAL PRECLK:STD_LOGIC; 定义时基脉冲信号 SIGNAL FULLSPKS:STD_LOGIC;BEGINPROCESS(CLK1)VARIABLE COUNT:INTEGER RANGE 0 TO 8; 定义变量计数器,从0到8BEGINIF(CLK1EVENT AND CLK1=1)THEN -

31、据时钟信号为1时 COUNT:=COUNT+1; 判断计数器取值为1IF COUNT=2 THENPRECLK=1;ELSIF COUNT=4 THEN 若计数器计4PRECLK=0;COUNT:=0; -时基脉冲为0,计数器清零END IF;END IF;END PROCESS;PROCESS(PRECLK,TONE1)VARIABLE COUNT11:INTEGER RANGE 0 TO 2047; 定义变量频率计数器11,从0到2047HzBEGIN IF(PRECLKEVENT AND PRECLK=1)THEN PRECLK脉冲上升沿触发 IF COUNT11CLK32MHZ,INDEX2=INDEX1,INDEX0=INDX,AUTO=HANDTOAUTO); -调用自动演奏模块U1:TONE PORTMAP(INDEX=INDX,TONE0=TONE2,CODE=CODE1,HIGH=HIGH1); 调用音调发生模块U2:FENPIN PORT MAP(CLK1=CLK32MHZ,TONE1=TONE2,SPKS=SPKOUT);END ART; -调用数控分频模块

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服