ImageVerifierCode 换一换
格式:DOC , 页数:27 ,大小:638KB ,
资源ID:2170091      下载积分:3 金币
验证码下载
登录下载
邮箱/手机:
验证码: 获取验证码
温馨提示:
支付成功后,系统会自动生成账号(用户名为邮箱或者手机号,密码是验证码),方便下次登录下载和查询订单;
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

开通VIP
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.zixin.com.cn/docdown/2170091.html】到电脑端继续下载(重复下载【60天内】不扣币)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  
声明  |  会员权益     获赠5币     写作写作

1、填表:    下载求助     索取发票    退款申请
2、咨信平台为文档C2C交易模式,即用户上传的文档直接被用户下载,收益归上传人(含作者)所有;本站仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。所展示的作品文档包括内容和图片全部来源于网络用户和作者上传投稿,我们不确定上传用户享有完全著作权,根据《信息网络传播权保护条例》,如果侵犯了您的版权、权益或隐私,请联系我们,核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
3、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据,平台无法对文档的真实性、完整性、权威性、准确性、专业性及其观点立场做任何保证或承诺,下载前须认真查看,确认无误后再购买,务必慎重购买;若有违法违纪将进行移交司法处理,若涉侵权平台将进行基本处罚并下架。
4、本站所有内容均由用户上传,付费前请自行鉴别,如您付费,意味着您已接受本站规则且自行承担风险,本站不进行额外附加服务,虚拟产品一经售出概不退款(未进行购买下载可退充值款),文档一经付费(服务费)、不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
5、如你看到网页展示的文档有www.zixin.com.cn水印,是因预览和防盗链等技术需要对页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有水印标识(原文档上传前个别存留的除外),下载后原文更清晰;试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓;PPT和DOC文档可被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;PDF文档不管是原文档转换或图片扫描而得,本站不作要求视为允许,下载前自行私信或留言给上传者【天****】。
6、本文档所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用;网站提供的党政主题相关内容(国旗、国徽、党徽--等)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
7、本文档遇到问题,请及时私信或留言给本站上传会员【天****】,需本站解决可联系【 微信客服】、【 QQ客服】,若有其他问题请点击或扫码反馈【 服务填表】;文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“【 版权申诉】”(推荐),意见反馈和侵权处理邮箱:1219186828@qq.com;也可以拔打客服电话:4008-655-100;投诉/维权电话:4009-655-100。

注意事项

本文(心电信号处理系统的设计与实现--大学毕业设计论文.doc)为本站上传会员【天****】主动上传,咨信网仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知咨信网(发送邮件至1219186828@qq.com、拔打电话4008-655-100或【 微信客服】、【 QQ客服】),核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载【60天内】不扣币。 服务填表

心电信号处理系统的设计与实现--大学毕业设计论文.doc

1、心电信号处理系统的设计与实现心电信号处理系统的设计与实现摘 要系统的研究心电信号处理对疾病的早期预测及家庭医疗保健具有十分重要的意义,一直是生物医学工程领域的研究热点。心血管疾病是人类生命的最主要威胁之一,而心电(Electrocardiogram),ECG信号是诊断心血管疾病的主要依据,心电信号是心脏电生理活动在体表的表现,提供了心脏功能等生理状况的有重要价值的临床医学信息,是临床心脏病诊断的基础。因此,设计心电信号处理系统具有重要意义。本论文分析了国内外心电信号处理仪发展现状和趋势,介绍了设计过程中所涉及到的相关概念及资料。整个前置级电路由前置放大电路,陷波电路和滤波电路构成。系统采用三运

2、放组成的前置放大电路进行心电信号的初步放大,使用模拟低通和模拟高通组成的模拟带通滤波器对干扰信号加以滤除,同时使用陷波器对50Hz的工频干扰进一步滤除,得到比较纯净的心电信号,再对其实施A/D转换,通过单片机处理系统最终将检测结果用LCD显示出来。关键词: 心电信号处理,放大,滤波,虚拟仪器 ECGSIGNALPROCESSINGSYSTEMThe research of portable ECG manager, which has always been the hot spot biomedical engineering, has significant meaning for the

3、 early-stage prediction of cardiovascular disease and family medical health care. Currently, with the development of electronic, communicative and software technique, the ECG manager has great promotion on size, power consumption, and data storage and data transmission. The property and quality of m

4、edical productions have great influence on the life security of the patients; therefore, researches of related topics become quite important in meaning.This paper analyses the current situation and trend of the development of ECG manager domestically and internationally,introduced the relatively con

5、cept and materials involved in the process. In addition use of the composition of the three op amp preamp circuit to enlarge the initial ECG, we also use analog low-pass and analog high-pass analog filter just like components band-pass to filter out signal interference, while the use of active notch

6、 filter frequency of 50Hz can further filt out interference, getting a more pure ECG signal. And then through the implementation of A/D conversion, single-chip processing system, the final test results will be displayed by LCD. The ECG analyzer has good characteristics such as low-power, portable an

7、d real-time and so on. KEY WORDS: ECG manager, amplification, filtering, virtual instrument目录1.1 背景介绍11.2 相关概念21.2.1 心电信号的基本特征21.2.2 心电信号处理仪的生物学基础31.2.3 心电导联42.1 概念及特点52.2 虚拟仪器的构成52.3 PROTEUS简介63.1 总体设计思路83.2 导联方式83.3 前置放大电路83.3.1 模块分析83.3.2 电路实现93.3.3 仪用放大器实现113.4 滤波电路143.4.1 模块分析143.4.2 分块电路实现143.

8、4.3 放大滤波电路整体效果图173.5 A/D转换模块183.5.1 ADC0809引脚功能183.5.2 A/D转换的主要技术指标193.5.3 MCS-51系列单片机与ADC0809接口203.5.4 A/D转换程序214 心电信号分析方法224.1 时域分析224.2 频域分析224.3 自相关函数分析22231绪论1.1 背景介绍心脏类疾病(如心肌梗死、心肌缺血、心房扑动和室性心动过速等)的发病具有突发性、高危险性的特点,不少患者在发病时没有任何症状,因此有必要设计一种能随身携带、长时间检测并实时分析病人心电信号的、具有报警功能的心电信号处理仪,以满足家庭保健的需要。随着电子技术的迅

9、速发展,大量微功耗、高精度器件的出现为便携式低功耗心电信号处理仪的设计带来了方便,特别是低功耗、大容量Flash单片机和计算能力强的ARM、DSP处理器的出现,使得心电信号处理仪能够同时具有低功耗和实时分析的特性成为可能1。随着电子技术及软件技术的发展,心电信号处理仪在体积、功耗、自动分析方也有了长足发展。20世纪70年代中期,记录器更趋于小型化,记录时间更长(24-48h),回放系统开始采用自动分析程序,能够准确计算心率、异位心搏和段改变。20世纪80年代中后期,随着微型计算机和微处理器的应用,开始出具有实时分析功能的多通道多功能磁介记录器,且回放系统使用了计算机,使分析运算的准确性和速度均

10、显著提升。打印系统已普遍采用激光打印机,从而使分析报告和图形日趋完善。20世纪90年代后,运用大规模集成电路、大容量固态数码记录等全新技使信号采集与数据分析质量方面得到极大改进,尤其是电子介质存储器的发展,已多采用闪光卡、电子硬盘,具有体积小、佩戴舒适、存储量大、心波形保真度高等特点。近些年来,在心电信息处理方式方面由模拟式心电图机向智能化心电图机转变,目前在临床得到广泛应用的智能化心电图机是一种随身携带的记录仪,可连续检测人体24-72小时的心电变化。经过50年的发展,动态心电图仪已经成为临床上一种不可缺少的医用电子仪器,它的主要价值在于发现并记录在通常短暂心电图检查是不易发现的、日常活动时

11、发生的心图异常,为临床诊断提供依据。其具有以下特点:1、可随身携带,不受检测距离、体位变化及活动的影响。2、信息量远远大于常规心电图,尤其对短暂性心律失常的捕捉及一过性肌缺血的检出有独到之处。3、选择导联不能影响日常活动和防止由这种活动所产生的伪差和干扰。4、分析系统不仅可分析显示监测期内心搏总数、最高心率、最低心率、平均心率和每小时平均心率,并能自动分析和测量每小时室上性、室性期前收缩,室上性和室性心动过速的次数、程度和形态以及持续时间,房室传导阻滞、心脏停搏的情况及P-R间期、QRS波群、ST-T变化的轨迹图、趋势图及全览图等,其结果可用不同方式输出,为临床提供有价值的资料。目前,国内外临

12、床应用的主要是3导联和12导联心电图仪。近年来,第5-6代3导联心电仪和第2-3代12导联心电仪,不仅硬件先进,软件设计合理,而且自动分析功能越来越强大、全面。除具有心率变异性、Q-T间期、Q-T离散度心室晚电位分析外,还具有T波分析、动态血压同步检测、心电监护和起搏分析等功能。如Mortar公司的12导联心电图仪,ST段分析精确达到V级,独有的ST与HRV相关分析与动态血压同步测定等,同时以人体工程学原理,用防弹衣材料制成树枝状“藤蔓式”12导联线,软件中房扑及房颤分析功能获得FDA认可;美国DMS公司的第二代高性能12联心电图仪,除具有各种心律失常软件外,独有P波分析功能,12导联ST段维

13、彩色超视图,率先在Q-T间期离散度分析上提出具有实用性很高的色谱理论、高性能起搏分析功能,将心率变异性的时域、频率分析用于阻塞性睡呼吸暂停综合征的筛选和诊断;美国Preideal Instrument公司生产的3通心电图仪,能准确地对起搏信号加以识别,并能分析各种心率失常、ST-T改。率变异性、Q-Td等。远程心电监护利用了现代电子计算机及通信技术,在心律失常的检测方法上弥补了常规心电图与动态心电图的不足,使得患者能够和医生及时沟通,及时得到医生的健康指导。近年来国内医疗仪器公司也纷纷转向12导联动态心电图仪分为以下几种类型:1、国产化(自主研制开发)12导联AECG仪:西安蓝港公司研制出具有

14、自主知识产权的第一代3导联心电图以后,有心电图仪,记录器具有显示屏,可以观察心率失常及ST-T改变等情况。2、与著名国外厂家共同研制:美高仪公司和美国DMS导联心电图仪,具有透明视窗装置,以液晶屏显示动态心电警和录取功能,即把动态心电图与监护心电图有机的结合成显示12个导联的心电图。可分别显示3个导联的动态心电图。3、经销国外名牌产品:这些产品都结合我国的国情,改和汉化处理,开发出中英文双界面,为基层医院提供了方便。4、进口器件改进仪器:长春时代数码有限公司,首家推出SDD系列心电图仪,使用windows2003,分析用1.5V中央处理器、最新电子U盘记录盒、USB2.0三大最新技术,仅用一节

15、五号电池就能记录48乃至72h心电图。1.2 相关概念1.2.1 心电信号的基本特征1、微弱性:从人体体表检出的心电信号很微弱,一般只有0.05-5mV。在测量中,对于如此微弱的信号,很难进行直接观察或记录,必须通过放大器适当的放大后再输给显示与记录装置。2、不稳定性:人体心电信号处于动态变化之中。由于人体是一个与外界有密切关系的开放系统,加之内部存在着器官间的相互影响,所以,无论来自外部或内部的刺激,都会使人体因适应这一变化,而从一种状态变化到另一种状态,从而使人体信号发生相应的变化。因此,在对心电信号进行测量、分析和处理时,应该注意到它是随时间变化的信号,应按其频谱特性,选择适当的放大系数

16、和显示记录装置。3、低频特性:人体心电信号的频谱范围为0.05-100Hz,其频率是比较低的。4、随机性:人体心电信号是反映人体机能的信号,它是整个人体系统信息的一部分。由于人体的不均匀性以及可接收多通道输入,信息易随外界干扰而变化,从而使心电信号表现出随机性。不过,如果对心脏自发放电的时间空间构型进行统计分析,就可以发现放电的内在规律。因此,这种随机现象服从统计规律。在心电信号的测量中,既要注意到它的随机性,又不可忽视其内在的规律性2。综上所述,放大滤波部分的设计有如下五点要求:(1)高增益由于人体生理信号幅度非常小,为毫伏级。只有高增益才能使信号放大到便于分析的程度。通常选择增益在60db

17、(1000倍)120db(100万倍)之间。(2)高输入阻抗通过传感器提取的生理信号是不稳定的高内阻源的微弱信号,为了减小信号源内阻的影响,必须提高放大器的输入阻抗。信号源阻抗不仅因人而异,因生理状态而异,与传感器的安放位置,传感器本身的物理状态都有密切关系。源阻抗的不稳定性将使放大器电压增益不稳定,从而造成难以修正的测量误差。再者,理论上源阻抗是信号频率的函数,电极阻抗也是频率函数,变化规律都是随频率的增加而下降。如果放大器输入阻抗不够高,就会造成信号低频分量的幅度减小,产生失真。用于生理信号电位测量的放大器的输入阻抗高达1M欧姆量级。(3)高共模抑制比由于生理参数测量放大器一般具有高增益和

18、高输入阻抗,因而各类干扰极易随信号进入放大器,尤其工频(50Hz)干扰,因为这种信号正好落在呼吸信号的频谱范围内。强的干扰与有用信号叠加在一起,使显示与记录的信号的基线变宽,影响信号的观察与测量。为此放大器必须有较好的抗干扰能力。(4)低噪声由于生物电信号十分微弱,因此要求生物电测量放大器具有低的内部噪声。一般采用低噪声电阻和低噪声放大器。仪器噪声可用等效噪声和信噪比来衡量。(5)低漂移由于生理信号为低频信号,放大器一般采用直接耦合方式,则前一级放大电路的温度漂移影响一级放大电路的工作点,导致后一级进入饱和而无法正常工作,所以要求用低漂移放大器。1.2.2 心电信号处理仪的生物学基础心电信号是

19、由心肌细胞产生的,由窦房结发出的兴奋,按照一定的途径和时程,一次向心房和心室扩布,引起整个心脏的循环兴奋,其各部分兴奋过程中出现的电位变化的方向、途径、次序和时间等均有一定的规律。由于人体为一个容积导体,这种电变化亦必然扩布到身体表面。鉴于心脏在同一时间内产生大量心电信号,因此可以通过安放在身体表面的胸电极或四肢电极,将心脏产生的电位变化以时间为函数记录下来,这种记录曲线称为心电图(ECG),其反应了心脏兴奋的产生、传导和恢复过程中的生物电变化2。ECG波形由一个P波、一个QRS波群和一个T波组成,P波起因于心房收缩之前的心房除极时的电位变化,QRS波群起因于心室收缩之前的心室除极时的电位变化

20、,T波为心室复极时的电位变化,其幅度不应低于同一导联R波的1/10,T波异常表示心肌缺血或损伤。图2-1为典型心电图图解3。 图2-1 典型的心电图图解1.2.3 心电导联所谓心电导联就是心脏除极、复极过程中产生的心电向量,通过容积导电传至身体各部,并产生电位差,将两电极置于人体的任何两点与心电图机连接,就可描记出心电图,这种放置电极并与心电图机连接的线路,称为心电导联(lead)。临床常用的导联方式有肢体导联和胸前导联,肢体导联又有标准导联和加压单极肢体导联之分。临床中广泛应用的是标准十二导联系统,分别记为、三个标准导联,aVR、aVL、aVF三个加压导联以及V1-V6六个胸极导联。其中、主

21、要是反应左手、右手以及左腿任两电极间的电压差,无探查电极和无关电极之分,是双极导联。双极导联就是拾取两个测试点的电位差。aVR-V6是单极导联,就是拾取某一点相对参考的电位。由一个无关电极和探查电极所组成,其P波明显,利于诊断心律失常(V1)和左前壁心肌缺血(V5、V6)。标准导联的特点广泛地反映了心脏的大概情况,如:后壁心肌梗塞、心律失常等,往往、导联可以记录到清晰的波形2。2虚拟仪器介绍2.1 概念及特点随着微电子技术、计算机硬件技术、软件技术、网络技术的高度发展及其在电子测量技术与仪器领域的应用,新的测量理论、新的测试方法、新的测试领域以及新的仪器结构不断出现,在许多方面已经冲破传统仪器

22、的概念,电子测量仪器的功能和作用发生了质的变化。低成本高性能的计算机技术引入仪器领域,数字化平台逐渐成为测量仪器的基础,计算机处于核心地位,仪器开始朝着智能化、数字化和网络化方向发展4。80年代末,美国国家仪器公司(National Instruments,简称NI)研制成功第一台虚拟仪器,最早提出了虚拟仪器(Virtual Instrument,简称VI)的概念2。虚拟仪器是以通用计算机为核心的硬件平台,其功能由用户设计和定义,具有虚拟面板,其测试功能由软件来实现的一种计算机仪器系统。它的实质是利用计算机显示器的显示功能来模拟传统计算机仪器的控制面板, 以多种表达方式输出检测结果。使用者使用

23、鼠标或键盘操作虚拟面板,将计算机资源和通用仪器硬件(插入式数据采集卡、数据通信接口、GPIB接口卡、VXI控制接口卡及其它接口卡)与用于数据采样、过程控制、数据分析处理及用户图形界面的计算机软件有效地结合起来,就组成了虚拟仪器。虚拟仪器以特定的软件取代相应功能的电子线路,用计算机完成传统仪器的部分乃至全部功能,是传统仪器功能与外形的模块化和软件化。虚拟仪器技术的出现彻底打破了传统仪器由厂家定义、用户无法改变的模式。用户借助通用的仪器硬件平台,调用不同的测试软件,就可以构成不同功能的仪器。它的出现使测量仪器与计算机之间的界线消失,开始了测量仪器的新时代。传统的测量仪器主要由三个功能块组成:信号的

24、采集与控制单元、信号的分析与处理单元、结果的表达与输出单元。由于这些功能块基本上是以硬件和固化的软件形式存在,仪器只能由生产厂家来定义制造,因此传统仪器设计复杂、灵活性差,没有摆脱独立使用,手动操作的模式,整个测试过程几乎仅限于简单的模仿人工测试的步骤,在一些较为复杂和测试参数较多的场合下,使用起来很不方便。2.2 虚拟仪器的构成虚拟仪器在通用计算机环境中,依托功能强大的处理单元和高速的数据总线,利用VC,VB,LabVIEW等虚拟仪器软件平台,在屏幕上构建与真实仪器类似的可视化软面板,通过软面板上的虚拟控件控制底层硬件,用功能强大的软件完成信号的采集(实时或事后)、分析、显示和存储,并给出检

25、测结果。虚拟仪器的基本构成包括计算机、虚拟仪器软件、硬件接口模块等。由通用仪器硬件平台和应用软件两大部分组成4。一、虚拟仪器的硬件平台构成虚拟仪器的硬件平台有两部分:1、计算机:一般为一台PC机或者工作站,它是硬件平台的核心。2、I/O接口设备:主要完成被测信号的采集、放大、模/数转换等。可根据不同的总线采用不同的I/O接口硬件设备。I/O接口设备主要有五种方式:a、PC总线一插卡型,指的是基于计算机标准总线(如ISA(Industry Standard Architecture) Bus或PCI (Peripheral Component Interconnect)Bus等)的内置功能插卡,

26、即PC-DAQ (Data Acquisition)数据采集卡。插卡式仪器价格最便宜,且个人计算机数量非常庞大,因此其用途广泛,特别适于教学部门和各种实验室使用。本课题开发的虚拟仪器实验室系统就属于该类型,借助于插入计算机内的数据采集卡与专用的软件(如LabVIEW)相结合,完成测试任务,并通过A/D变换将模拟信号采集并输入计算机进行分析、处理、显示,并可通过D/A转换实现反馈控制。还可根据需要加入信号调理和实时DSP等硬件模块。它充分利用计算机的总线、机箱、电源及软件的便利,但A/D转换技术的好坏直接影响其测量精度。b、GPIB(General Purpose Interface Bus)通

27、用总线接口,GPIB技术是IEEE488标准早期的发展阶段。GPIB测量系统的结构和命令简单,适合于要求高精确度的场合,但不适宜进行数据的高速传输。c、VXI(VXIbus Extension for Instruement)VXI是高速计算机总线VME总线在VI领域的扩展,它具有稳定的电源,强有力的冷却能力和严格的电磁屏蔽是开放性仪器总线标准。然而,组建VXI总线要求有机箱、零槽管理器及嵌入式控制器,造价比较高。d、PXI(PCI Extension for Instrument)是PCI在仪器领域的扩展,是一种新的开放性、模块化仪器总线规范。具有工业级的制造标准,更适应苛刻的工作环境。e、

28、远程总线数据接口(RS-232,USB,IEEE1394,Ethernet等)与前面几种总线相比,它的接口简单,使用方便。随着数字化的发展,很多设备将信号转换成数字信号后进行传输。这样可以保证数据的精度不丢失,且不易受干扰。二、虚拟仪器的软件平台硬件仅仅是为了解决信号的输入输出,软件才是整个系统的关键。开发虚拟仪器,必须有合适的软件工具。目前已有多种虚拟仪器的软件开发工具, 比较常用的有:1、文本式编程语言:如基于传统语言的C,Visual C+,Visual Basic, LabWindows/CVI等,采用大家比较熟悉的语言,拥有众多函数和类库,适应面广,开发灵活多变,可以自己开发驱动程序

29、等。往往用来开发大型的、功能复杂的仪器软件,但开发人员需有较多的编程经验和较强的调试能力。2、图形化编程图形组态软件:如LabVIEW,HP-VEE等。可以不用管理内基于虚拟仪器的传感器虚拟实验与虚拟实验室部数据的分配等问题。拥有逼真的仪器面板元件,图形化的常用模块,智能化的数据连线,简便易用、丰富多彩的函数库和工具包。软件通过建立和连接图标来构成虚拟仪器工作程序并定义其功能,具有编程效率高,通用性强的特点。适合对VC等大型开发软件不熟悉的人员,软件规模不很大的系统。这些软件开发工具为用户设计虚拟仪器应用软件提供了最大限度的方便与良好的开发环境。2.3 Proteus简介Proteus软件是来

30、自英国Labcenter Electronics公司的EDA工具软件,Proteus软件除了其具有和其它EDA工具一样的原理布图,PCB自动或人工布线及电路仿真的功能外,其革命性的功能是,它的电路仿真是互动的,针对微处理器的应用,还可以直接在基于原理图的虚拟原型上编程,并实现软件源码级的实时调试,如有显示及输出,还能看到运行后输入输出的效果,配合系统配置的虚拟仪器如示波器,逻辑分析仪等,不需要别的,Proteus建立了完备的电子设计开发环境6。Proteus与其它单片机仿真软件不同的是,它不仅能仿真单片机CPU的工作情况,也能仿真单片机外围电路或没有单片机参与的其它电路的工作情况。因此在仿真和

31、程序调试时,关心的不再是某些语句执行时单片机寄存器和存储器内容的改变,而是从工程的角度直接看程序运行和电路工作的过程和结果。对于这样的仿真实验,从某种意义上讲,是弥补了实验和工程应用间脱节的矛盾和现象。 Proteus是目前最好的模拟单片机外围器件的工具,可以仿真51系列、AVR,PIC等常用的MCU及其外围电路(如LCD,RAM,ROM,键盘,马达,LED,AD/DA,部分SPI器件,部分IIC器件等)。当然,软件仿真精度有限,而且不可能所有的器件都找得到相应的仿真模型,用开发板和仿真器是最好选择。所以当属于所用元件没有时,可以自主开发元器件。本设计采用汇编语言,并且在proteus中,可以

32、直接加载WAVE软件中经过编译形成的*.hex文件,进行仿真,用示波器观察输出电压波形。3 电子电路设计3.1 总体设计思路 因为人体生理信号非常微弱,不便于直接进行数据分析,所以要将这些信号进行加强,这里主要用到了放大电路。其次,因为收集到的呼吸信号中掺杂有别的生理信号,会影响到目的信号的纯度给分析带来困难,所以要对收集到的信号进行滤波。使这些信号分别通过预先设计好的滤波器就可以达到此目的。由探测电极从体表采集的微弱心电信号经过放大、滤波等前置处理后,直接送入信号采集模块进行模数转换,转换后的数据暂存于89C51单片机。整个系统主要由心电信号采集模块,心电信号的放大、滤波电路,数据的处理与存

33、储模块及LCD显示模块组成。3.2 导联方式采用肢体导联中的、三个标准导联方式,右胸上电极及左腹下电极为心电采样电极,右腹下电极为右腿驱动电极。这种联接方式有效实用,有利于便携使用7。3.3 前置放大电路3.3.1 模块分析主要由导联信号拾取、前置放大电路、带通滤波、工频陷波四部分组成。从体表获得心电信号后,通过心电导联输入心电放大器。心电信号首先经过前置放大器放大。然后又经过滤波后。送入A/D 转换器变成数字信号,供计算机分析处理。由于心电幅度只有mV量级,需要放大上千倍才能被观察到,并且人体的内阻比较大,因此一个高阻抗、高增益的放大器是准确获取心电信号的关键。放大级通常包括初级差分放大和运

34、放构成的主放大级。由于体表液体与电极之间可能形成原电池,致使电极之间存在固定的电位差,因此第一级差分放大的增益不能太高,否则容易饱和。通常这一级增益选10左右。在第一级和第二级之间必须进行直流隔离。第二级采用同相放大电路,增益可以高达100倍,这样整个电路放大倍数可以达到1000倍。由于信号中混有各种干扰噪声会影响有用信号,因此需要对这些噪声进行滤波。噪声来源主要有两类,一类是各种电子设备辐射出的高频噪声,一种是市电的50Hz噪声,通常情况下后者影响尤为明显。该模块结构如图3-1所示。导联信号拾取前置放大工频陷波带通滤波图3-2 心电信号前置放大滤波电路结构图3.3.2 电路实现它的第I级是有

35、两个运放A1和A2组成,信号由两个同相输入差分放大器,因而有很高的的输入阻抗,可达10M以上;第II级是由A3构成的基本差分放大器,将差分输入转化成单端输出。为了实现高性能的生物电放大器,前置放大级采用了差动输入的三运放形式。如图2-1所示,A1、A2组成同向并联第一级放大,A3位差动放大8。此电路的特点:一、A1,A2成的第I级电路因信号从同向侧输入能提高放大器的输入阻抗;二、输出回路不产生共模电流,电路的共模抑制能力只于A1、A2共模抑制比的匹配有关系,与外围电路电阻是否匹配完全无关;三、采用对称形式,有利于克服失调,温漂的影响。传递放大级A3的接入,隔断共模电压在电路中的传递。四、传递放

36、大级A3的接入,隔断共模电压在电路中的传递。差动放大级A3的共模抑制比主要取决于外围电路电阻的匹配同相并联三运放结构可以较好地满足上面三条要求。放大器的第I级主要用来提高整个放大电路的输入阻抗。第II级采用差动电路用以提高共模抑制比。 图3-3 同相并联型差分放大器的电路原理图由上图看到,放大器是由第一级两个运放A1和A2并联,然后与第二级运放A3串联构成的基本放大器。设输入电压为和,运放A1和A2的输出端电压分别为和,运放A3的输出电压为。由于理想运放两个输入端“虚短”知: ; (3-1)对于第一级: ; ;=; =; (3-2)所以第一级差摸电压放大倍数为: (3-3) 对于第二级:; (

37、3-4)所以第二级差摸电压放大倍数为:; (3-5)故前置放大电路的电压放大倍数为: (3-6)要求差动增益约为1000,则可令: (3-7)可取R=20kohm,R=40kohm,R=1kohm,R=200kohm。(如图3-3所示)这样的电路有以下几个优点:一、A1、A2提高了差模信号与共模信号之比,即提高了信噪比,因差模信号按差模增益比放大,远高于共模成分(噪声);二、决定增益的电阻(R1、R3)对共模抑制比没有影响,因此电阻的容差不重要,R1、R3的失配仅使两输出端之间的差模增益失配,与共模抑制比相比,这一点并不重要。电路的另一个特点是对共模输入信号没有放大作用,共模电压增益接近零。这

38、个因素不仅与实际的共模输入有关,而且也与A1和A2的失配电压和漂移有关。如果A1和A2有相等的漂移速率,且向同一方向漂移,那么漂移就作为共模信号出现,没有被放大,还能被第二级抑制。这样对于A1和A2的漂移要求就会降低。A1和A2前置放大级的差模增益要做得尽可能高,相比之下,第二级A3的漂移和共模误差就可以忽略,对放大器的要求就可以大大降低。由此可知,上述电路具有输入阻抗高,共模抑制比高等优点,可作为通用仪用放大器使用。3.3.3 仪用放大器实现前置级的设计参数主要由处在最前端的仪用放大器决定。一旦仪用放大器决定则前置级参数便基本确定。设计时应当考虑仪用放大器的增益,一般来说共模抑制比随增益增大

39、而有所提高,但考虑到前置级对整个放大电路噪声的贡献,假设对于多级放大器,若以N1、N2分别表示各级噪声系数,以P1、P2表示各级的功率增益,则整个放大电路的噪声系数N表示为 N=N1+ (3-8)放大器的噪声性能一般随第一级增益的提高而明显变差,特别是集成器件噪声性能一般比分立元件差。特别是集成器件噪声性能一般比分立元件差。因此,第一级增益不宜取值过度,一般G20为宜。选用低功耗、低电压的仪表放大器AD620作为前置放大器的核心器件,可满足心电前置放大器高输入阻抗、低噪声、低漂移的设计要求。如图3-4所示是其引脚分布图。AD620具有高输入电阻、低输入偏置电流、低输入失调电流、低噪声、低功耗、

40、小体积等特点,另外其增益G的调节直接由一个外部电阻控制要技术指标如下9:图3-4 AD620管脚图低电源电流:50uA输入失调电压:125uV输入失调电流:0.3nA输入偏置电流:0.5nA最小共模抑制比:60dB(G=10)等效输入噪声电压:9nV/等效输入噪声电流:0.1pA /高输入电阻:10G功耗:最大650mW根据以上AD620的技术指标,可得出结论,凭其优异的性能,完全满足心电放大器的设计要求。且其极小的体积,较小的功耗为整个监护仅的体积和功耗的降低提供了可能.AD620的工作原理:AD620是在传统的三运放组合方式改进的基础上研制的单片仪用放大器。输入三极管Q1和Q2提供了唯一双

41、极差分输入,因内部的超处理,它的输入偏移电流比一般情况低10倍。通过Q1-A1-R1环路和Q2-A2-R2环路的反馈,保持了Q1,Q2集成极电流为常量,所以输入电压相当于加在外接电阻Rg的两端,从输入到A1/A2输出的差分放大倍数为: (3-9) 由A3组成的单位增益减法器消除了任何共模成分,而产生一个与REF管脚电位有关的单路输出。Rg的值还确定了前级运放的跨导。当Rg减小时,放大倍数增大,对输入三极管的跨导渐渐地增大,这具有明显的优点:放大倍数增加使得开环增益增大,因此减小了增益带宽乘积增加,因此频率响应得到改善;主要由输入三极管集成电极电流和基极电阻确定的输入电压噪声减小到9nV/。内部

42、增益电阻R1和R2被精确确定24.7k,使得运放增益精确地由Rg确定 (310) 图3-5 AD620结构简图AD620由于体积小、功耗低、噪声小及供电电源范围广等特点,使AD620特别适宜应用到诸如传感器接口、心电图监测仪、精密电压电流转换等应用场合。为达到心电放大器的要求,我们采用了差动输入的方式,即将患者体表的电位差作为信号予以放大,如图3-6所示11。图3-6 心电放大前级原理图1、输入阻抗差动输入电阻就是AD620的差动输入电阻值,可达到10G,因此满足心电放大器的要求。2、低噪声、低漂移根据仪表放大器AD620的参数,可以得到结论,即低噪声、低漂移。3、增益AD620的增益是通过外

43、部的电阻Rg来调节的,可达到11000倍。增益的计算公式如(3-3)式所示。为了保证患者不受到可能的伤害并且保证前置放大器不工作在截止区,前置放大器的增益不能过大,因此我们设计了第一级的放大倍数不高于10倍。4、共模抑制比电路的共模抑制比主要由心电前级放大器决定,而AD620的60dB ( G=10)的共模抑制比十分符合我们的设计要求。为了进一步提高前置放大器的共模抑制比同时抑制50HZ工频干扰,我们设计了激励系统,如图3-6所示,由TLC2254以及R1,R4,R6,R7和C1构成。人体的共模电压被两个阻值相等的电阻R6,R7检测出,经过TLC2254将其倒相、放大并反馈到人体上。这是个负反

44、馈,其使共模电压降低。人体的位移电流不流到地,而是流到运放输出电路。就心电放大器来说,这样就减小了共模电压的拾取,并且有效地使病人接地。由于手头没有现成的AD620和芯片,本设计中暂不采用。AD620是一个很好的放大器,只要用一个外部电阻就可以进行11000的放大倍数。不过它也是沿用了三运放差分电路的特点来进行信号的放大,再者三运放差分电路运用广泛,得到的效果也不错;而且用运放741组成的三运放差分电路具有典型性,简单易懂,适合毕业设计,作为学生对741的了解也比较深刻,做起课题较容易入手。三运放差分电路已经有它的历史,它也为以后更深远的研究等做好了铺垫。所以在选择放大电路的时候运用了三运放差

45、分电路来进行信号的放大。3.4 滤波电路3.4.1 模块分析滤波电路的功能是使特定频率范围内的信号顺利通过,而阻止其他频率信号通过。心电图机所需检测的心电信号幅值在0.054 mV,频率在0.05100Hz。而检测中存在的主要干扰信号有电极板与人之间的极化电压、50Hz工频干扰、仪器内部噪声和仪器周围电场磁场电磁场的干扰等等。因此需要进行一系列滤波。本电路采用了低通滤波和高通滤波把放大器的频率相应范围限定在0.05100Hz之间。设定高频是为了消除肌肉和电磁干扰噪声,下限是为了减少由呼吸带来的极限漂移。3.4.2 分块电路实现(1)低通滤波器(如图3-7所示)图3-7 低通滤波器电路令 低通滤波器的截止频率为f=100z。则取 C=F=0.1F又 则 取 R=25kohm,R=10kohm又 电压放大倍数为:A= (3-11)取 R=R=10kohm则 差动增益为1。(2)高通滤波器只需将低通滤波器电路中的三个电阻改为电容,并且把两个电容改为电阻可构成高通滤波器电路(如图3-8所示)。图3-8 高通滤波器电路令 高通滤波器的截止频率为f=0.05z。则取 F=200F又 则 取 =25kohm,=10kohm又 电压放大倍数为A=1 (3-12)

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服