收藏 分销(赏)

毕业论文基于vhdl的电子密码锁的设计论文.doc

上传人:天**** 文档编号:4848582 上传时间:2024-10-15 格式:DOC 页数:22 大小:395KB
下载 相关 举报
毕业论文基于vhdl的电子密码锁的设计论文.doc_第1页
第1页 / 共22页
毕业论文基于vhdl的电子密码锁的设计论文.doc_第2页
第2页 / 共22页
毕业论文基于vhdl的电子密码锁的设计论文.doc_第3页
第3页 / 共22页
毕业论文基于vhdl的电子密码锁的设计论文.doc_第4页
第4页 / 共22页
毕业论文基于vhdl的电子密码锁的设计论文.doc_第5页
第5页 / 共22页
点击查看更多>>
资源描述

1、1. 基于C8051F单片机直流电动机反馈控制系统的设计与研究2. 基于单片机的嵌入式Web服务器的研究 3. MOTOROLA单片机MC68HC(8)05PV8/A内嵌EEPROM的工艺和制程方法及对良率的影响研究 4. 基于模糊控制的电阻钎焊单片机温度控制系统的研制 5. 基于MCS-51系列单片机的通用控制模块的研究 6. 基于单片机实现的供暖系统最佳启停自校正(STR)调节器7. 单片机控制的二级倒立摆系统的研究8. 基于增强型51系列单片机的TCP/IP协议栈的实现 9. 基于单片机的蓄电池自动监测系统 10. 基于32位嵌入式单片机系统的图像采集与处理技术的研究11. 基于单片机的

2、作物营养诊断专家系统的研究 12. 基于单片机的交流伺服电机运动控制系统研究与开发 13. 基于单片机的泵管内壁硬度测试仪的研制 14. 基于单片机的自动找平控制系统研究 15. 基于C8051F040单片机的嵌入式系统开发 16. 基于单片机的液压动力系统状态监测仪开发 17. 模糊Smith智能控制方法的研究及其单片机实现 18. 一种基于单片机的轴快流CO,2激光器的手持控制面板的研制 19. 基于双单片机冲床数控系统的研究 20. 基于CYGNAL单片机的在线间歇式浊度仪的研制 21. 基于单片机的喷油泵试验台控制器的研制 22. 基于单片机的软起动器的研究和设计 23. 基于单片机控

3、制的高速快走丝电火花线切割机床短循环走丝方式研究 24. 基于单片机的机电产品控制系统开发 25. 基于PIC单片机的智能手机充电器 26. 基于单片机的实时内核设计及其应用研究 27. 基于单片机的远程抄表系统的设计与研究 28. 基于单片机的烟气二氧化硫浓度检测仪的研制 29. 基于微型光谱仪的单片机系统 30. 单片机系统软件构件开发的技术研究 31. 基于单片机的液体点滴速度自动检测仪的研制32. 基于单片机系统的多功能温度测量仪的研制 33. 基于PIC单片机的电能采集终端的设计和应用 34. 基于单片机的光纤光栅解调仪的研制 35. 气压式线性摩擦焊机单片机控制系统的研制 36.

4、基于单片机的数字磁通门传感器 37. 基于单片机的旋转变压器-数字转换器的研究 38. 基于单片机的光纤Bragg光栅解调系统的研究 39. 单片机控制的便携式多功能乳腺治疗仪的研制 40. 基于C8051F020单片机的多生理信号检测仪 41. 基于单片机的电机运动控制系统设计 42. Pico专用单片机核的可测性设计研究 43. 基于MCS-51单片机的热量计 44. 基于双单片机的智能遥测微型气象站 45. MCS-51单片机构建机器人的实践研究 46. 基于单片机的轮轨力检测 47. 基于单片机的GPS定位仪的研究与实现 48. 基于单片机的电液伺服控制系统 49. 用于单片机系统的M

5、MC卡文件系统研制 50. 基于单片机的时控和计数系统性能优化的研究 51. 基于单片机和CPLD的粗光栅位移测量系统研究 52. 单片机控制的后备式方波UPS 53. 提升高职学生单片机应用能力的探究 54. 基于单片机控制的自动低频减载装置研究 55. 基于单片机控制的水下焊接电源的研究 56. 基于单片机的多通道数据采集系统 57. 基于uPSD3234单片机的氚表面污染测量仪的研制 58. 基于单片机的红外测油仪的研究 59. 96系列单片机仿真器研究与设计 60. 基于单片机的单晶金刚石刀具刃磨设备的数控改造 61. 基于单片机的温度智能控制系统的设计与实现 62. 基于MSP430

6、单片机的电梯门机控制器的研制 63. 基于单片机的气体测漏仪的研究 64. 基于三菱M16C/6N系列单片机的CAN/USB协议转换器 65. 基于单片机和DSP的变压器油色谱在线监测技术研究 66. 基于单片机的膛壁温度报警系统设计 67. 基于AVR单片机的低压无功补偿控制器的设计 68. 基于单片机船舶电力推进电机监测系统 69. 基于单片机网络的振动信号的采集系统 70. 基于单片机的大容量数据存储技术的应用研究 71. 基于单片机的叠图机研究与教学方法实践 72. 基于单片机嵌入式Web服务器技术的研究及实现 73. 基于AT89S52单片机的通用数据采集系统 74. 基于单片机的多

7、道脉冲幅度分析仪研究 75. 机器人旋转电弧传感角焊缝跟踪单片机控制系统 76. 基于单片机的控制系统在PLC虚拟教学实验中的应用研究77. 基于单片机系统的网络通信研究与应用 78. 基于PIC16F877单片机的莫尔斯码自动译码系统设计与研究79. 基于单片机的模糊控制器在工业电阻炉上的应用研究 80. 基于双单片机冲床数控系统的研究与开发 81. 基于Cygnal单片机的C/OS-的研究82. 基于单片机的一体化智能差示扫描量热仪系统研究 83. 基于TCP/IP协议的单片机与Internet互联的研究与实现 84. 变频调速液压电梯单片机控制器的研究 85. 基于单片机-免疫计数器自动

8、换样功能的研究与实现 86. 基于单片机的倒立摆控制系统设计与实现 87. 单片机嵌入式以太网防盗报警系统 88. 基于51单片机的嵌入式Internet系统的设计与实现 89. 单片机监测系统在挤压机上的应用 90. MSP430单片机在智能水表系统上的研究与应用 91. 基于单片机的嵌入式系统中TCP/IP协议栈的实现与应用92. 单片机在高楼恒压供水系统中的应用 93. 基于ATmega16单片机的流量控制器的开发 94. 基于MSP430单片机的远程抄表系统及智能网络水表的设计95. 基于MSP430单片机具有数据存储与回放功能的嵌入式电子血压计的设计 96. 基于单片机的氨分解率检测

9、系统的研究与开发 97. 锅炉的单片机控制系统 98. 基于单片机控制的电磁振动式播种控制系统的设计 99. 基于单片机技术的WDR-01型聚氨酯导热系数测试仪的研制 100. 一种RISC结构8位单片机的设计与实现 101. 基于单片机的公寓用电智能管理系统设计 102. 基于单片机的温度测控系统在温室大棚中的设计与实现103. 基于MSP430单片机的数字化超声电源的研制 104. 基于ADC841单片机的防爆软起动综合控制器的研究105. 基于单片机控制的井下低爆综合保护系统的设计 106. 基于单片机的空调器故障诊断系统的设计研究 107. 单片机实现的寻呼机编码器 108. 单片机实

10、现的鲁棒MRACS及其在液压系统中的应用研究 109. 自适应控制的单片机实现方法及基上隅角瓦斯积聚处理中的应用研究110. 基于单片机的锅炉智能控制器的设计与研究 111. 超精密机床床身隔振的单片机主动控制 112. PIC单片机在空调中的应用 113. 单片机控制力矩加载控制系统的研究 项目论证,项目可行性研究报告,可行性研究报告,项目推广,项目研究报告,项目设计,项目建议书,项目可研报告,本文档支持完整下载,支持任意编辑!选择我们,选择成功!项目论证,项目可行性研究报告,可行性研究报告,项目推广,项目研究报告,项目设计,项目建议书,项目可研报告,本文档支持完整下载,支持任意编辑!选择我

11、们,选择成功!单片机论文,毕业设计,毕业论文,单片机设计,硕士论文,研究生论文,单片机研究论文,单片机设计论文,优秀毕业论文,毕业论文设计,毕业过关论文,毕业设计,毕业设计说明,毕业论文,单片机论文,基于单片机论文,毕业论文终稿,毕业论文初稿,本文档支持完整下载,支持任意编辑!本文档全网独一无二,放心使用,下载这篇文档,定会成功!下载需知SelectionParagraphFormatLineSpacingLinesToPointsSelectionParagraphFormatLineSpacingLinesToPointselectionParagraaaaaaaaaaaaaaaaaaaa

12、aaaaaaaaaaaaaaaaaaaaaaaaaaaaphFormatLineSpacingLinesToPointsSelectionParagraphFormatLineSpacingLinesTSelectionParbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbagraphFoLineSpacingLinesToPointsSelectionParagraphFormatLineSpacingLinesToPointse11111111111111111111111111111111lectionParagraphF

13、ormatLineSpacingLinesToPointsSelectionParagraphFormatLineSpacingLinesToPoctionParagraphFormatLineSpaci2222222222222222222222ngLinesToPoints2SelectionParagraphFormatLineSpacingLinesToPointsSelectionParagraphFormatLineSpacingLinesToPointselectionParagraphFcccccccccccccccccccccccccccccccccccccccccccccc

14、ccccccccccormatLineSpacingLinesToPointsSelectionParagraphFormatLineSpacingLine本站上传的文档资源均来自互联网,以分享为目的,为有需要者提供学习与参考,版权为原作者所有,若侵犯到原作者的权益, 请提出指正, 及时与网站客服联系,并提供必要的证据,如属实,网站会在第一时间进行处理,立即删除相应下载链接页面并将文档删除。SelectionParagraphFormatLineSpacingLinesToPointsSelectionParagraphFormatLineSpacingLinesToPointselectio

15、nParagraaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaphFormatLineSpacingLinesToPointsSelectionParagraphFormatLineSpacingLinesTSelectionParbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbagraphFoLineSpacingLinesToPointsSelectionParagraphFormatLineSpacingLinesToPointse1111111111111111111111

16、1111111111lectionParagraphFormatLineSpacingLinesToPointsSelectionParagraphFormatLineSpacingLinesToPoctionParagraphFormatLineSpaci2222222222222222222222ngLinesToPoints2SelectionParagraphFormatLineSpacingLinesToPointsSelectionParagraphFormatLineSpacingLinesToPointselectionParagraphFccccccccccccccccccc

17、cccccccccccccccccccccccccccccccccccccormatLineSpacingLinesToPointsSelectionParagraphFormatLineSpacingLines 本站上传的文档,在文档排版上进行过整理,并未对内容进行增加或删除,因此,本站并不保证文档质量,因此下载之前,务必先预览一番,因为预览与下载的内容完全一样,所以如果发现内容有问题,请不要下载。electionParagraphFormatLineSpacingLinesToPointsSelectionParagraphFormatLineSpacingLinesToPointsele

18、ctionParagraaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaphFormatLineSpacingLinesToPointsSelectionParagraphFormatLineSpacingLinesTSelectionParbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbagraphFoLineSpacingLinesToPointsSelectionParagraphFormatLineSpacingLinesToPointse111111111111111111

19、11111111111111lectionParagraphFormatLineSpacingLinesToPointsSelectionParagraphFormatLineSpacingLinesToPoctionParagraphFormatLineSpaci2222222222222222222222ngLinesToPoints2SelectionParagraphFormatLineSpacingLinesToPointsSelectionParagraphFormatLineSpacingLinesToPointselectionParagraphFccccccccccccccc

20、cccccccccccccccccccccccccccccccccccccccccormatLineSpacingLinesToPointsSelectionParagraphFormatLineSpacingLin下载后的word文档均可进行复制、编辑,没有密码保护,PDF格式均可转换成word,PPT文档可直接进行修改。因上传需要对文档进行转换,转换过程中有可能不能正常阅读或是下载后不能编辑,遇到这种问题,可以留言,留下邮箱地址,我们会把原始文档发送到你的邮箱。electionParagraphFormatLineSpacingLinesToPointsSelectionParagraph

21、FormatLineSpacingLinesToPointselectionParagraaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaphFormatLineSpacingLinesToPointsSelectionParagraphFormatLineSpacingLinesTSelectionParbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbagraphFoLineSpacingLinesToPointsSelectionParagraphFormatLineSpacin

22、gLinesToPointse11111111111111111111111111111111lectionParagraphFormatLineSpacingLinesToPointsSelectionParagraphFormatLineSpacingLinesToPoctionParagraphFormatLineSpaci2222222222222222222222ngLinesToPoints2SelectionParagraphFormatLineSpacingLinesToPointsSelectionParagraphFormatLineSpacingLinesToPoints

23、electionParagraphFccccccccccccccccccccccccccccccccccccccccccccccccccccccccormatLineSpacingLinesToPointsSelectionParagraphFormatLineSpacingLinelec摘要FPGA/VHDL是近几年集成电路中发展最快的产品。由于FPGA性能的高速发展以及设计人员自身能力的提高,可编程逻辑器件供应商将进一步扩大可编程芯片的领地,将复杂的专用芯片挤向高端和超复杂应用。据IC Insights的数据显示,FPGA市场从1999年的29亿美元增长到去年的56亿美元,几乎翻了一番。M

24、atas预计这种高速增长局面以后很难出现,但可编程逻辑器件依然是集成电路中最具活力和前途的产业。本文介绍的VHDL密码锁应具有如下功能:密码预先存入寄存器中,开锁时,输入密码存入另一寄存器中,当按下“确定”键时,启动比较器,比较两个寄存器中的内容,当结果相同时开锁;当结果不同时不开锁。用户需要修改密码时,先开锁,再按“设定密码”,清除预先存入的密码,通过键盘输入新的2位十进制码,按“确定”完成。关键词:VHDL,密码锁,矩阵目录摘要1目录2一、 设计要求3二 电路组成3三 功能电路的设计41、总体设计框图42、键盘接口电路43时序产生电路64键盘扫描电路75键盘消抖电路86键盘译码电路107按

25、键存储电路13(1)SISO串行输入/串行输出14(2)SIPO-串行输入/并行输出15(3)PISO-并行输入/串行输出16(4)PIPO-并行输入/并行输出178密码设置和比较模块189电锁控制电路设计19(1)数字按键输入部分19(2)功能键输入部分19(3)三种工作模式19附件1:程序清单20一、 设计要求设计一个简单的数字电子密码锁,密码为6位。功能1、 密码输入:每按下一个键,要求在数码管上显示,并依次左移;2、密码清除:清除密码输入,并将输入置为”000000”;3、密码修改:将当前输入设为新的密码;4、上锁和开锁。二 电路组成为达到以上功能,可将电子密码锁分为以下几个模块:1、

26、键盘接口电路键盘矩阵、键盘扫描、键盘消抖、键盘译码及按键存储。2、电锁控制电路:数字按键输入、存储及清除。功能按键的设计。密码清除、修改与存储。电锁的激活与解除。3、输出显示电路BCD 译码、动态扫描电路。三 功能电路的设计1、总体设计框图2、键盘接口电路矩阵式键盘工作原理:矩阵式键盘是一种常见的输入装置,在计算机、电话、手机、微波炉等各工电子产品中被广泛应用。如图所示为一34 矩阵式键盘。矩阵式键盘以行、列形式排列,图中为4 行3 列,键盘上的每一个按键盘其实是一个开关电路,当某键被按下时,该按键所对应的位置就呈现逻辑0 的状态,键盘的扫描可以以行扫或列扫方式进行,图中为行扫方式,KEYR3

27、KEYR0 为扫描信号,其中的某一位为0 即扫描其中的一行,具体见表1-1.键盘扫描信号KEYR3 与第一行相连,KEYR2 与第二行相连,依此类推。很显然,扫描信号的变化顺序为:0111、1011、1101、1110,周而复始。在扫描的过程中,当有键按下时,对应的键位就为逻辑0状态,从而从KEYC2.0 读出的键值相应列为0.具体情况如表1-2 所示:若从KEYC2.0 读出的值全为1 时,表示没有键被按下,则不进行按键的处理。如果的键被按下,则将KEYC2.0 读出的送至键盘译码电路进行译码。表1-2 键盘扫描与其对应的键值的关系时序产生电路:时序电路的产生:在一个系统的设计中,往往需要多

28、种时钟信号,最为方便的方法是利用一个自由计数器来产生各种需要的频率。本电路需要:系统主时钟、消抖取样时钟和动态扫描时钟。3时序产生电路有如下VHDL 程序,请分析其输出结果:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL ;USE IEEE.STD_LOGIC_UNSIGNED.ALL ;ENTITY free_counter ISPORT (CLK : IN STD_LOGIC ;CLK_A : OUT STD_LOGIC ;CLK_B : OUT STD_LOGIC_VECTOR(1 DOWNTO

29、0) ;END free_counter ;ARCHITECTURE a OF free_counter ISSIGNAL Q : STD_LOGIC_VECTOR(6 DOWNTO 0);BEGINPROCESS (CLK)BEGINIF CLKEVENT AND CLK = 1 THENQ = Q + 1;END IF;END PROCESS;CLK_A = Q(1) ;CLK_B = Q(4 DOWNTO 3) ;END a ;分析:首选信号Q 建立一个9 位自由计数器,对输入主时钟进行降频处理;使用CLK_A=Q(1)语句,取得一个脉冲波形,对主时钟进行2 分频,其值为0、1、0、1;

30、使用CLK_B=Q(4 DOWNTO 3)语句,取得一脉冲序列,依次为00、01、10、11、00.;4键盘扫描电路目标:提供键盘扫描信号,即表1-1 中的KEYR3.0,变化顺序依次为0111、1011、1101、1110.。依次重复出现。程序清单:LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL ;USE IEEE.STD_LOGIC_UNSIGNED.ALL ;ENTITY scan ISPORT (CLK : IN STD_LOGIC ;CLK_SCAN : OUT STD_LOGIC_VECTO

31、R (3 downto 0);END scan ;ARCHITECTURE a OF scan ISSignal S : STD_LOGIC_VECTOR(1 DOWNTO 0);SIGNAL Q: STD_LOGIC_VECTOE(3 DOWNTO 0);BeginPROCESS (Clk)BeginIF CLKEvent AND CLK=1 thenQ = Q+1;S :=Q(3 DOWNTO 2);END IF;END PROCESS;-排错!SCAN_OUT= 1110 WHEN S=0 ELSE1101 WHEN S=1 ELSE1011 WHEN S=2 ELSE0111 WHEN

32、 S=3 ELSE1111;END a ;说明:程序中,S 信号是用来产生扫描信号的四个状态,Q 是为了对输入主时钟进行降频处理。5键盘消抖电路因为按键大多是机械式开关,在开关切换的瞬间会在接解点出现来回弹跳的现象,其现象可用图1-2表示:由图可见,虽然只是按了一次键,实际产生的按键信号却不只跳动一次,经过取样信号的检查后,将会造成误码判,认为是按了两次键。如果调整取样频率,可以发现抖现象得到了改善。按键信号:取样信号:取样结果:调整取样频率后的情况如下:程序清单:LIBRARY ieee;USE ieee.std_logic_1164.ALL;LIBRARY altera;USE alter

33、a.maxplus2.ALL;ENTITY debouncing ISPORT(d_in, clk : IN STD_LOGIC;dd1, dd0, qq1, qq0 : OUT STD_LOGIC ;d_out,d_out1 : OUT STD_LOGIC);END debouncing ;ARCHITECTURE a OF debouncing ISsignal vcc, inv_d : std_logic ;signal q0, q1 : std_logic ;signal d1, d0 : std_logic ;BEGINvcc = 1 ;inv_d vcc , q = q0 , cl

34、k = clk, clrn = inv_d , prn = vcc);dff2 : dff PORT MAP (d =vcc , q = q1, clk = clk, clrn = q0 , prn = vcc);process (clk)beginif clkevent and clk=1 thend0 = not q1;d1 = d0;end if ;end process ;dd0 = d0; dd1 = d1; qq1 = q1; qq0 = q0;d_out = not (d1 and not d0);d_out1 = not q1 ;END a;6键盘译码电路从前面所述的键盘扫描电

35、路的输出可以看出,扫描得到的信号规律性不强,例如数字键主要用来输入数字,但键盘扫描输出无法拿来直接使用,必须对其进行译码才能使用。如表所示,只要使用casewhen 或when else 语句,便可完成设计。键盘译码程序清单:LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL ;USE IEEE.STD_LOGIC_UNSIGNED.ALL ;-*ENTITY KEYBOARD_DEC1 ISPORT (clk : IN STD_LOGIC ;CLK_KEYBOARD : IN STD_LOGIC_VECT

36、OR (1 downto 0);C: IN STD_LOGIC_VECTOR (2 downto 0); -key code after debounceout_numb : OUT STD_LOGIC_VECTOR(3 downto 0); - for numb. keyout_func : OUT STD_LOGIC_VECTOR(3 downto 0); - for func. keyflag_numb : OUT STD_LOGIC ; -flag for numb. keyflag_func : OUT STD_LOGIC -flag for func. keyKEYR3.0 KEY

37、C2.0 对应的按键 译码输出 功能011 1 0001 数码输入0111 101 2 0010 数码输入110 3 0011 数码输入011 4 0100 数码输入1011 101 5 0101 数码输入110 6 0110 数码输入011 7 0111 数码输入1101 101 8 1000 数码输入110 9 1001 数码输入011 0 0100 激活电锁1110 101 0000 数码输入110 00017);END KEYBOARD_DEC1 ;-*ARCHITECTURE a OF KEYBOARD_DEC1 ISsignal FF : std_logic ; -FLAG OF

38、FUNC.signal FN : std_logic ; -FLAG OF NUMB.signal Z : std_logic_VECTOR(4 downto 0) ; -SCAN CODEsignal F : std_logic_VECTOR(3 downto 0) ; -FOR FUNC. CODEsignal N : std_logic_VECTOR(3 downto 0) ; -FOR NUMB. CODEBEGINPROCESS(clk)beginZ N N N N N N N N N N N F F F = 1000 ;end case ;END IF ;end process ;

39、FN = NOT ( N(3) AND N(2) AND N(1) AND N(0) ) ;FF = ( NOT F(3) AND F(2) AND NOT F(1) AND NOT F(0) OR (NOT F(3) AND NOT F(2) ANDNOT F(1) AND F(0) ) ;-CONNECTIONFLAG_NUMB = FN ;8FLAG_FUNC = FF ;OUT_NUMB = N ;OUT_FUNC = F ;END a;说明:1、键盘译码电路除了负责将键盘送出的数据进行译码外,另外就是在译码的同时,必须判别所按下的是数字键还是功能键。2、若为数字键,则 flag_nu

40、mb=1,且out_num 输出BCD 码,并送往显示电路。3、若为功能键,则 flag_func=1,且out_func 输出4 位码,并送往电锁控制电路。7按键存储电路因为每次按键都会产生新的数据,可能会覆盖前面的数据,所以需要一个按键存储电路,将键盘扫描译码后的结果记录下来。这一功能可以用移位寄存器来实现。常见的移位寄存器的下列四种:A.串行输入/串行输出(SISO)B.串行输入/并行输出(SIPO)C.并行输入/串行输出(PISO)D.并行输入/并行输出(PIPO)(1)SISO串行输入/串行输出LIBRARY ieee;USE ieee.std_logic_1164.ALL;ENTI

41、TY siso ISPORT(DATA_IN :IN STD_LOGIC;CLK :IN STD_LOGIC;DATA_OUT :OUT STD_LOGIC);END siso ;ARCHITECTURE a OF siso ISSIGNAL Q: STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(CLK)BEGINIF CLKEVENT AND CLK = 1 THENQ(0) = DATA_IN;FOR I IN 1 TO 3 LOOPQ(I) = Q(I-1);END LOOP;END IF;END PROCESS;DATA_OUT = Q(3);END

42、 a;(2)SIPO-串行输入/并行输出LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL ;USE IEEE.STD_LOGIC_UNSIGNED.ALL ;ENTITY sipo ISPORT(D_IN :IN STD_LOGIC;CLK :IN STD_LOGIC;D_OUT :OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END sipo;ARCHITECTURE a OF sipo ISSIGNAL Q: STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPR

43、OCESS(CLK)BEGINIF CLKEVENT AND CLK = 1 THENQ(0) = D_IN;FOR I IN 1 TO 3 LOOPQ(I) = Q(I-1);END LOOP;END IF;END PROCESS;D_OUT = Q;END a;(3)PISO-并行输入/串行输出LIBRARY ieee;USE ieee.std_logic_1164.ALL;ENTITY piso ISPORT(DATA_IN :IN STD_LOGIC_VECTOR(3 DOWNTO 0);CLK :IN STD_LOGIC;nLOAD :IN STD_LOGIC;DATA_OUT :OUT STD_LOGIC);END piso;ARCHITECTURE a OF piso ISSIGNAL Q: STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(nLOAD,CLK)BEGINIF nLOAD = 0 THENQ = DATA_IN;ELSIF CLKEVENT AND CLK = 1 THENq(1) = Q(0) ;FOR I IN 1 TO 3 LOOPQ(I) = Q(I-1);END LOOP;END IF;END PROCESS;

展开阅读全文
部分上传会员的收益排行 01、路***(¥15400+),02、曲****(¥15300+),
03、wei****016(¥13200+),04、大***流(¥12600+),
05、Fis****915(¥4200+),06、h****i(¥4100+),
07、Q**(¥3400+),08、自******点(¥2400+),
09、h*****x(¥1400+),10、c****e(¥1100+),
11、be*****ha(¥800+),12、13********8(¥800+)。
相似文档                                   自信AI助手自信AI助手
搜索标签

当前位置:首页 > 学术论文 > 毕业论文/毕业设计

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2025 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服