收藏 分销(赏)

基于CPLD的交通灯控制器.doc

上传人:胜**** 文档编号:4224782 上传时间:2024-08-26 格式:DOC 页数:56 大小:693KB
下载 相关 举报
基于CPLD的交通灯控制器.doc_第1页
第1页 / 共56页
基于CPLD的交通灯控制器.doc_第2页
第2页 / 共56页
基于CPLD的交通灯控制器.doc_第3页
第3页 / 共56页
基于CPLD的交通灯控制器.doc_第4页
第4页 / 共56页
基于CPLD的交通灯控制器.doc_第5页
第5页 / 共56页
点击查看更多>>
资源描述

1、 本 科 毕 业 设 计 论 文 题 目 基于CPLD的交通灯控制器设计 系 别 专 业 班 级 学号 学生姓名 指导教师 2013 年 6 月 摘 要当今时代是一个自动化时代,交通灯控制等很多行业的设备都与计算机密切相关。因此,一个好的交通灯控制系统,将给道路拥挤、违章控制等方面给予技术革新。随着大规模集成电路及计算机技术的迅速发展,以及人工智能在控制技术方面的广泛运用,智能设备有了很大的发展,是现代科技发展的主流方向。本文介绍了一个交通灯系统的设计。本文介绍的是基于EDA技术设计交通灯系统的一种方案。EDA技术的一个重要特征就是使用硬件描述语言(HDL)来完成系统的设计文件,应用VHDL的

2、数字电路实验降低了数字系统的设计难度,这在电子设计领域已得到设计者的广泛采用。本设计就是针对交通信号灯控制器的设计问题,提出了基于VHDL语言的交通信号灯系统的硬件实现方法。本设计利用VHDL语言来实现交通灯控制器。通过对系统进行结构分析,采用自顶向下的层次化设计方法,分别给出了用于控制交通灯明灭和倒计时功能的控制模块,用于紧急情况的分频模块,以及控制各个方向数码管的译码显示模块,最终形成用于自动控制十字路口的交通灯和计时器的交通灯控制器。从本文最后给出的仿真结果可知,该交通灯控制器实现了预期目标。关键词:交通信号灯,VHDL语言,仿真 ABSTRACTThe modern age is an

3、 era of automation, traffic light control equipment for many industries are closely related with the computer. Therefore, a good traffic light control system, will bring technical innovations for road congestion, illegal control, etc. With the rapid development of large scale integrated circuits and

4、 computer technology and the extensive use of intelligent devices in the control of artificial intelligence technology, intelligent devices have gotten great progress and become the mainstream of the development of modern science . This paper describes the system design of traffic lights .Traditiona

5、l traffic light control system is mostly achieved by a single chip or PLC, this paper introduces a scheme of the design of traffic signal systems based on EDA technology . An important feature of EDA technology is the use of hardware description language (HDL) to complete the system design documents

6、, the experiment applied VHDL digital circuit reduces the difficulty of digital system design, which has been widely adopted by the designers of electronic area. This design puts forward a method of hardware implementation for traffic lights system based on VHDL language to resolve the design proble

7、m of traffic signal controller . Each module is given VHDL program by analyzing the structure of the system and using a hierarchical design method, and it applies Max Plus on the simulation of applications , and the corresponding simulation results are gained. When design the circuit with VHDL, we s

8、hould be fully aware of the characteristics of VHDL language and optimize the circuit design from the design, the use of statements and methods of description,etc.Through optimizing circuit design, we can use smaller programmable logic chip, thereby reducing system cost.Design and implementation of

9、traffic light controller with VHDL language.Through the system for structural analysis, using top-down hierarchical design method, gives a VHDL program modules, and applications using Quartus II simulation, have come to the corresponding simulation results.Circuit design with VHDL language, should b

10、e fully aware of the features of VHDL language, from the design, use statement, and description of the superior aspects of optimized circuit design.Through optimization design of circuits, programmable logic chip can use smaller, thus reducing system costs.Keywords: Traffic light ,VHDL language ,Sim

11、ulation目录摘 要3ABSTRACT4第一章 前言91.1 选题意义91.2 交通灯发展史及国内外研究现状101.3 主要研究内容.111.4 章节安排.12第二章 本文研究平台简介132.1 CPLD复杂可编程逻辑器件13 2.1.1 CPLD结构及其发展.132.1.2 CPLD的特点及其使用132.1.3 EPM7128SLC84-15芯片介绍142.2 VHDL硬件编辑语言14 2.2.1 VHDL语言及其发展.152.2.2 VHDL语言设计流程152.2.3 VHDL的描述风格16 2.2.4 选择VHDL硬件描述语言设计的优势.172.3 Quartus 简介19第三章 交

12、通灯系统的设计213.1 红绿灯交通信号系统功能描述213.1.1 任务和要求213.1.2 设计思想213.2 红绿灯交通信号系统的VHDL模块223.2.1 控制模块253.2.2 分频模块253.2.3 译码显示模块32第四章 仿真后的时序图344.1 控制模块374.2 分频模块374.3 译码显示模块384.3整体仿真38第五章 总结395.1 结论.415.2 展望.42参考文献43致 谢44附 录 .45第一章 前言1.1 选题意义随着经济的增长和人口的增加,人们生活方式不断变化,人们对交通的需求不断增加。城市中交通拥挤、堵塞现象日趋严重,由此造成巨大的经济与时间损失。 资料显示

13、,对日本东京268个主要交叉路口的调查估计表明:每年在交叉路口的时间延误,折成经济报失为20亿美元;而在我国北京市,当早晚交通高峰时,交叉路口处的排队长度竟达1000多米,有的阻车车队从一个交叉路口延伸到另一个交叉路口,这时一辆车为通过一交叉路口,往往需要半个小时以上,时间损失相当可观。我国是一个历史悠久、人口众多的国家,城市数量随着社会的发展不断增多。 随着城市化进程的大大加快,诱发的交通需求急剧增长,供需矛盾不断激化,严重的交通问题也随之而来。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理

14、系统,它是现代城市交通监控指挥系统中最重要的组成部分。十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊,这一切要归功于城市交通控制系统中的交通灯控制系统。交通灯控制系统对于疏导交通流量、 提高道路通行能力,减少交通事故有明显效果,使城市交通得以有效管理。在交叉路口如何解决混合交通流中的相互影响,就是解决城市交通问题的关键所在!随着我国经济的稳步发展,人民生活水平的日渐提高,越来越多的汽车进入寻常百姓的家庭,再加上政府大力地发展公交、出租车行业,城市交通问题日益严重。要解决这些问题不仅要求道路越来越宽阔,而且更需要有新的交通管理模式出台。旧有的交通控制系统的弊病和人们越来越高的要求激化了

15、矛盾,使原来不太突出的交通问题被提上了日程。现在有关部门愈来愈多的注重在交通管理中引进自动化、智能化技术,比如“电子警察”、自适应交通信号灯,以及耗资巨大的交通指挥控制系统等。经济的发展和社会的进步,使道路交通成为社会活动的重要组成部分。对交通的管控能力,也就从侧面体现了这个国家对整个社会的管理控制能力,因此我们国家越来越重视运用各种高科技手段来加强对交通的管控能力,这不仅是衡量城市交通管理水平的标志,更是城市交通发展的重要目标。1.2 交通灯发展史及国内外研究现状交通灯通常指由红、黄、绿三种颜色灯组成用来指挥交通的信号灯。绿灯亮时,准许车辆通行,黄灯亮时,已越过停止线的车辆可以继续通行;红灯

16、亮时,禁止车辆通行。19世纪初,在英国中部的约克城,红、绿装分别代表女性的不同身份。其中,着红装的女人表示我已结婚,而着绿装的女人则是未婚者。后来,英国伦敦议会大厦前经常发生马车轧人的事故,于是人们受到红绿装启发,1868年12月10日,信号灯家族的第一个成员就在伦敦议会大厦的广场上诞生了,由当时英国机械师德哈特设计、制造的灯柱高7米,身上挂着一盏红、绿两色的提灯-煤气交通信号灯,这是城市街道的第一盏信号灯。在灯的脚下,一名手持长杆的警察随心所欲地牵动皮带转换提灯的颜色。后来在信号灯的中心装上煤气灯罩,它的前面有两块红、绿玻璃交替遮挡。不幸的是只面世23天的煤气灯突然爆炸自灭,使一位正在值勤的

17、警察也因此断送了性命。从此,城市的交通信号灯被取缔了。直到1914年,在美国的克利夫兰市才率先恢复了红绿灯,不过,这时已是“电气信号灯”。稍后又在纽约和芝加哥等城市,相继重新出现了交通信号灯。1918年第一盏电气交通灯出现在纽约市五号街的一座高塔上,只有红绿两色,它是圆形四面投影器,它的诞生,使城市交通大为改善。1925年,我国的胡汝鼎发明了黄色信号灯,他怀着“科学救国”的抱负到美国深造,在大发明家爱迪生为董事长的美国通用电器公司任职员1。一天,他站在繁华的十字路口等待绿灯信号,当他看到红灯而正要过去时,一辆转弯的汽车呼地一声擦身而过,吓了他一身冷汗。回到宿舍,他反复琢磨,终于想到在红、绿灯中

18、间再加上一个黄色信号灯,提醒人们注意危险。他的建议立即得到有关方面的肯定。于是红、黄、绿三色信号灯即以一个完整的指挥信号家族,遍及全世界陆、海、空交通领域了。如今的交通灯系统的控制方法有很多,国内外常见的多为标准逻辑器件、可编程序控制器PLC、单片机、CPLD等方案。基于CPLD所设计的系统具有速度更快、体积更小、功耗更小等特点,改变了传统交通灯不稳定和不利于扩展的缺点,得到了广泛应用。交通系统未来的发展趋势就是要提高通行能力,加强环境保护,开展智能化运输和环保专项技术的研究,并且要做到以人为本,重点开展交通安全技术的研究,在这个过程中要确定经济合理的目标,促进新材料的广泛应用和开发。智能交通

19、系统是将先进的信息技术、数据通讯传输技术、电子传感技术及计算机处理技术等有效的集成运用于整个地面交通管理系统而建立的一种在大范围内、全方位发挥作用的,实时、准确、高效的综合交通运输管理系统。 随着交通状况的日益恶化,西方发达国家普遍开展了关于智能交通系统共用信息平台的研究、建设。一方面,西方发达国家开展了许多关于部门间信息共享、以及公有私有关系的专项研究,从法规、政策、机制等方面对部门间信息共享给予了一定的保障;另一方面,西方发达国家的ITS建设已经发展到一定程度,普遍开展了较高层次的交通信息服务。然而,在提供良好的交通信息服务的背后,必定要有功能强大、信息资源丰富的ITS共用信息平台作为支撑

20、。 在我国,城市智能交通已逐步得到社会各界的广泛关注,并已成为交通领域的研究热点,社会各界对通过智能交通系统建设、缓解日益严重的交通问题寄予了厚望。 鉴于城市智能交通系统建设涉及到城市管理多个部门职能,因此,只有各相关部门协调配合、共同行动起来,在必要的机制和技术手段下充分实现部门间的信息共享,城市智能交通才可能顺利建设和发展。 为此,国家科技部提出了建设城市交通ITS共用信息平台的构想,在此基础上广泛开展关于交通综合信息的研究和应用。智能交通各界通过多年的研究和工程实践,普遍认为信息平台的建设应实现信息共享枢纽、综合交通信息服务、交通辅助决策、重大事件管理等功能目标。目前,已有的交通信息采集

21、系统还处于各自分立的状态。要实现上述功能,还需面对诸多必须解决的问题。多学科综合集成ITS共用信息平台。 ITS共用信息平台的建设决不是一般的IT系统集成,为完整解决信息平台数据采集、数据处理整合以及信息发布的问题,必须同时考虑多方面技术的综合集成。同时,上述关键技术在平台中也不仅仅是堆砌或者简单组合,而是有机融合、互相渗透。1.3 主要研究内容 课题采用文献研究和实验方法进行研究。通过文献研究,提出基于CPLD的交通灯控制器的设计要求;通过实践研究,验证本课题所实现的功能是否与理论要求相一致。所获得的资料来源于省图书馆、网上数据库和社会、企业以及校园调研。本课题设计了一个基于CPLD可编程逻

22、辑器件的交通灯控制器。该控制器能够自动控制十字路口交通灯状态的改变和同一状态保持的时间,从而保证车辆及行人的安全通过,并且对特殊状况进行适当处理。控制器主要采用EDA技术,通过VHDL硬件电路描述语言实现设计功能,并使用Quartus II对设计程序进行编译和仿真。该控制系统主要包括控制模块,分频模块和译码显示模块,会在下面进行详细阐述。1.4 章节安排第一章 前言第二章 本文研究平台简介第三章 交通灯系统的设计第四章 系统仿真第五章 结论与展望第二章 本文研究平台简介2.1 CPLD复杂可编程逻辑器件CPLD(Complex Programmable Logic Device)复杂可编程逻辑

23、器件,是从PAL和GAL器件发展出来的器件,相对而言规模大,结构复杂,属于大规模集成电路范围。是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆(“在系统”编程)将代码传送到目标芯片中,实现设计的数字系统。2.1.1 CPLD结构及其发展CPLD主要是由可编程逻辑宏单元(MC,Macro Cell)围绕中心的可编程互连矩阵单元组成。其中MC结构较复杂,并具有复杂的I/O单元互连结构,可由用户根据需要生成特定的电路结构,完成一定的功能。由于CPLD内部采用固定长度的金属线进行各逻辑块的互连,

24、所以设计的逻辑电路具有时间可预测性,避免了分段式互连结构时序不完全预测的缺点。20世纪70年代,最早的可编程逻辑器件-PLD诞生了。其输出结构是可编程的逻辑宏单元,因为它的硬件结构设计可由软件完成(相当于房子盖好后人工设计局部室内结构),因而它的设计比纯硬件的数字电路具有很强的灵活性,但其过于简单的结构也使它们只能实现规模较小的电路。为弥补PLD只能设计小规模电路这一缺陷,20世纪80年代中期,推出了复杂可编程逻辑器件-CPLD。目前应用已深入网络、仪器仪表、汽车电子、数控机床、航天测控设备等方面。2.1.2 CPLD的特点及其使用CPLD具有编程灵活、集成度高、设计开发周期短、适用范围宽、开

25、发工具先进、设计制造成本低、对设计者的硬件经验要求低、标准产品无需测试、保密性强、价格大众化等特点,可实现较大规模的电路设计,因此被广泛应用于产品的原型设计和产品生产(一般在10,000件以下)之中。几乎所有应用中小规模通用数字集成电路的场合均可应用CPLD器件。CPLD器件已成为电子产品不可缺少的组成部分,它的设计和应用成为电子工程师必备的一种技能。这里以抢答器为例讲一下它的设计(装修)流程,即芯片的设计流程。CPLD的工作大部分是在电脑上完成的。打开集成开发软件(Altera公司Max+pluxII)画原理图,写硬件描述语言(VHDL,Verilog)编译给出逻辑电路的输入激励信号,进行仿

26、真,查看逻辑输出结果是否正确进行管脚输出,输出锁定生成代码通过下载电缆将代码传送并存储在CPLD芯片中。2.1.3 EPM7128SLC84-15芯片介绍EPM7128SLC84-15隶属于ALTERA公司所生产的MAX7000系列产品。它是在ALTERA公司的第二代MAX结构基础上,采用先进的氧化物半导体EEPROM技术制造的。可容纳各种各样、独立的组合逻辑和时序逻辑函数。可以快速而有效的重新编程,并保证可编程擦除100次。EPM7128SLC84-15包含128个宏单元,每16个宏单元组成一个逻辑阵列块,同时,每个宏单元有一个可编程的“与”阵和固定的“或”阵,以及一个具有独立可编程时钟、时

27、钟使能、清除和置位功能的可配置触发器。图2-1是某频率计用到EPM7128SLC84-15时的连线图。可以看到该CPLD的84个管脚,大部分都是I/O口,接线时只需要注意电源、接地、时钟等特殊管脚即可,其他的输入输出信号可以接入任意I/O口。 图2-1EPM7128SLC84-15结构图2.2 VHDL硬件编辑语言VHDL语言是一种用形式化方法来描述数字电路和设计数字逻辑系统的语言。设计者可以利用这种语言来描述自己的设计思想,然后利用电子设计自动化工具进行仿真,再自动综合到门级电路,最后使用PLD实现其功能。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外

28、,VHDL的语言形式,描述风格和句法等与一般的计算机高级语言十分类似。2.2.1 VHDL语言设计特点覆盖面广,描述能力强,用于复杂的,多层次的设计,支持设计库和设计的重复使用。在VHDL语言中,设计的原始描述可以非常简练,经过层层加强后,最终可成为直接付诸生产的电路或版图参数描述。具有良好的可读性,既容易被计算机接受,也容易被读者了解。支持大规模设计的分解和已有设计的再利用。一个大规模的设计不可能由一个人独立完成,必须由多人共同承担,VHDL为设计的分解和设计的再利用提供可有力的支持。使用期长,不会因工艺变化而使描述过时。因为VHDL的硬件描述与工艺无关,当工艺改变时,只需修改相应程序中的属

29、性参数即可。有丰富的软件支持VHDL的综合和仿真,从而能在设计阶段就能发现设计中的错误,缩短设计时间,降低成本。硬件独立,一个设计可用于不同的硬件结构,而且设计时不必了解过多的硬件细节。2.2.2 VHDL语言设计流程VHDL是IEEE所确认的一种标准化硬件描述语言,它在设计描述过程中有一定的设计流程可以遵循。一般来讲,VHDL的设计流程主要包括一下几个步骤:1. 设计规范的定义 采用VHDL进行设计描述之前,设计人员首先要对电子系统的设计目的和设计要求有一个明确,清晰的认识,然后形成具体的设计规范定义,这一步骤对以后的设计来说是非常重要的。设计规范的定义相当于系统设计的总体方案。2. 采用V

30、HDL进行设计描述 采用VHDL进行设计描述主要包括设计规划和程序编写的步骤。设计规划的主要任务是进行设计方式的选择以及是否进行模块规划。通常情况下,设计中采用的设计方式包括直接设计,自上而下的设计和自下而上的设计,一般采用自上而下的设计方法。模块划分是设计过程中一个非常重要的步骤模块划分的好坏直接影响最终的电路设计,因此设计人员在这一步应该花费一定的时间,从而保证模块划分的最优化。设计规划完成后,设计人员就可以按照模块划分来编写各个模块的VHDL程序,然后将各个模块的VHDL程序组合在一起,从而完成整个设计的VHDL描述。3.VHDL程序仿真 在设计流程中,综合,优化和装配(或布局布线)等后

31、续操作往往需要花费大量时间。一旦在后续工作中发现设计错误,设计人员往往需要修改VHDL描述,然后再重新进行综合,优化和装配(或布局布线)等后续操作,如此反复,将会浪费大量的时间。因此,设计人员常常在完成编码后采用仿真器对VHDL设计描述进行仿真(有时称作前仿真),这样可以提早发现设计错误,节省时间,缩短开发周期。4.综合,优化和装配(或布局布线) 综合是指将较高层次的抽象描述转化到较低级别抽象的一种方法,简单的说,就是将设计的描述转化成底层电路表示。通常,综合的结果是一个网表或一组逻辑方程。优化是指将设计的时延缩到最小和有效利用资源。几乎所有的高级VHDL综合工具都可以使用约束条件对设计进行优

32、化。约束条件的设置主要包括时间约束和面积约束。5.装配(或布局布线)后的仿真 与VHDL程序仿真不同,装配后的仿真不仅要对设计描述的逻辑功能进行验证,而且还要对设计描述的时序功能进行验证。如果时序不能满足,那么需要回到前面的步骤重新进行操作。通常,装配后的仿真称作后仿真。6.器件编程 器件编程就是将设计描述经过编译,综合,优化和装配后的结果,经过一定的映射,转化成器件编程所需要的数据文件格式,然后通过烧片器或下载电缆将数据文件下载到器件中的过程。2.2.3 VHDL的描述风格1. 行为描述结构体的行为描述表示输入与输出之间转换的关系,是对设计实体按计算的路径来描述。行为描述在EDA工程中称为高

33、层次描述或高级描述。一般来说,采用行为描述方式的VHDL程序主要用于系统教学模型的仿真或系统工作原理的仿真。常用顺序语句描述有进程,过程和函数。另外,采用行为描述方式设计电路,可以降低设计难度,只需表示输入输出之间的关系,没有设计任何有关的电路组成和门级电路。设计者只需写出源程序,而挑选电路方案的工作有EDA软件自动完成,最终的电路优化程度往往取决于综合软件的技术水平和器件支持能力。当电路的规模较大或需要描述复杂逻辑关系时,应首先考虑用行为描述方式设计电路。如果设计结果不能满足资源占有率的要求,则应改变描述方式。2. 数据流描述结构体的数据流描述也称为RTL描述方式,RTL是寄存器转换层次的简

34、称。RTL描述是以规定设计中的各种寄存器形式为特征,然后在寄存器之间插入组合逻辑。VHDL的RTL描述方式类似于布尔方程,可以描述时序电路,也可以描述组合电路。它反映了从输入数据到输出数据之间所发生的逻辑变换,或者说描述了数据流程的运动路径,运动方向和运动结果。RTL描述主要采用并行信号赋值语句描述。3. 结构化描述结构体的结构化描述给出了实体内部结构,它所包含的模块和元件及其互联关系,与实体外部引线的对应关系。结构化描述是使用元件例化语句或生成语句,完成元件互连的描述。原件的定义或使用声明及元件例化是用VHDL实现层次化,模块化设计的手段。与传统的原理图设计输入方式相仿,在综合时,VHDL实

35、现层次化,模块化设计的手段与传统的原理图设计输入方式相仿。在综合时,VHDL综合器会根据相应的元件声明,搜索与元件同名的实体,将此实体合并到生成的门级网表中。2.2.4 选择VHDL硬件描述语言设计的优势首先,简单地介绍一下什么是VHDL硬件描述语言。VHDL的英文全称是VHSIC(Very High Speed Integrated Circuit)Hardware Description Language。是EDA设计中使用最多的语言之一,它具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大地简化了硬件设计任务,提高了设计效率和可靠性。其次,这次设计选用VHDL硬

36、件描述语言的优势就在于传统的用原理图设计电路的方法具有直观形象的优点,但如果所设计系统的规模比较大,或者设计软件不能提供设计者所需的库单元时,这种方法就显得很受限制了。而且用原理图表示的设计,通用性、可移植性也比较弱,所以在现代的设计中,越来越多地采用了基于硬件描述语言的设计方式。利用硬件描述语言来设计电路,使探测各种设计方案变成一件很容易的事,因为只需要对描述语言进行修改,这比更改电路原理图要容易实现得多。VHDL 语言能够成为标准化的硬件描述语言并获得广泛应用 , 它自身必然具有很多其他硬件描述语言所不具备的优点。归纳起来 ,VHDL 语言主要具有以下优点: VHDL 语言功能强大 , 设

37、计方式多样VHDL 语言具有强大的语言结构, 只需采用简单明确的VHDL语言程序就可以描述十分复杂的硬件电路。同时, 它还具有多层次的电路设计描述功能。此外 ,VHDL 语言能够同时支持同步电路、异步电路和随机电路的设计实现, 这是其他硬件描述语言所不能比拟的。VHDL 语言设计方法灵活多样 , 既支持自顶向下的设计方式, 也支持自底向上的设计方法; 既支持模块化设计方法, 也支持层次化设计方法。 VHDL 语言具有强大的硬件描述能力VHDL 语言具有多层次的电路设计描述功能,既可描述系统级电路 , 也可以描述门级电路;描述方式既可以采用行为描述、寄存器传输描述或者结构描述,也可以采用三者的混

38、合描述方式。同时,VHDL 语言也支持惯性延迟和传输延迟,这样可以准确地建立硬件电路的模型。VHDL 语言的强大描述能力还体现在它具有丰富的数据类型。VHDL 语言既支持标准定义的数据类型,也支持用户定义的数据类型,这样便会给硬件描述带来较大的自由度。 VHDL 语言具有很强的移植能力VHDL 语言很强的移植能力主要体现在: 对于同一个硬件电路的 VHDL 语言描述 , 它可以从一个模拟器移植到另一个模拟器上、从一个综合器移植到另一个综合器上或者从一个工作平台移植到另一个工作平台上去执行。 VHDL 语言的设计描述与器件无关采用 VHDL 语言描述硬件电路时, 设计人员并不需要首先考虑选择进行

39、设计的器件。这样做的好处是可以使设计人员集中精力进行电路设计的优化, 而不需要考虑其他的问题。当硬件电路的设计描述完成以后 ,VHDL 语言允许采用多种不同的器件结构来实现。 VHDL 语言程序易于共享和复用VHDL 语言采用基于库 ( library) 的设计方法。在设计过程中 , 设计人员可以建立各种可再次利用的模块 , 一个大规模的硬件电路的设计不可能从门级电路开始一步步地进行设计 , 而是一些模块的累加。这些模块可以预先设计或者使用以前设计中的存档模块, 将这些模块存放在库中 , 就可以在以后的设计中进行复用。2.3 Quartus 简介 Quartus II design 是最高级和

40、复杂的,用于system-on-a-programmable-chip (SOPC)的设计环境。 QuartusII design 提供完善的 timing closure 和 LogicLock 基于块的设计流程。QuartusII design是唯一一个包括以timing closure 和 基于块的设计流为基本特征的programmable logic device (PLD)的软件。 Quartus II 设计软件改进了性能、提升了功能性、解决了潜在的设计延迟等,在工业领域率先提供FPGA与mask-programmed devices开发的统一工作流程。Altera Quartus

41、II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。当前官方提供下载的最新版本是v12.1。Altera Quartus II (3.0和更高版本)设计软件是业界唯一提供FPGA和固定功能HardCopy器件统一设计流程的设计工具。工程师使用同样的低价位工具对 Stratix FPGA进行功能验证和原型设计,又可以设计HardCopy Stratix器件用于批量成品。系统设计者现在能够用Quartus II软件评估HardCopy Stratix器件的性能和功耗,相应地进行最大吞吐量设计。Altera的Quartus II可编程逻辑软件属

42、于第四代PLD开发平台。该平台支持一个工作组环境下的设计要求,其中包括支持基于Internet的协作设计。Quartus平台与Cadence、ExemplarLogic、 MentorGraphics、Synopsys和Synplicity等EDA供应商的开发工具相兼容。改进了软件的LogicLock模块设计功能,增添 了FastFit编译选项,推进了网络编辑性能,而且提升了调试能力。第三章 交通灯系统的设计3.1 红绿灯交通信号系统功能描述在十字路口,每条道路各有一组红、黄、绿灯和倒计时显示器。其中,红灯亮表示禁止通行或停止左转弯;黄灯亮表示马上要出现红灯,停止通行;绿灯亮表示可以通行;倒计

43、时显示器是用来显示允许通行或禁止通行的时间。交通灯控制器就是用于自动控制十字路口的交通灯和计时器,指挥各种车辆和行人安全通行。3.1.1 任务和要求:确保交通安全有序进行。能实现正常的倒计时显示功能。能实现总体清零功能。计时器由初始状态计数,对应的指示灯亮。能实现特殊状态的功能显示:显示倒计时的2 组数码管闪烁;计数器停止计数并保持在原有状态;东西、南北路口均显示红灯状态;特殊状态解除后能继续正常运行。3.1.2 设计思想:表3-1交通灯转换规律的状态转换表状态主干道东主干道西支干道北支干道南时间1绿灯 亮绿灯 亮红灯亮红灯亮25s2黄灯亮黄灯亮红灯亮红灯亮 5s3绿灯 亮绿灯 亮红灯亮红灯亮 25s4黄灯亮黄灯亮红灯亮 红灯亮 5s5红灯亮红灯亮绿灯 亮绿灯 亮 25s6红灯亮红灯亮黄灯亮黄灯亮 5s7红灯亮红灯亮绿灯 亮绿灯 亮25s8红灯亮红灯亮黄灯亮黄灯亮 5s由状态转换表可以看出,主干道东西的交通灯状态相同且支干道南北的交通灯状态转换也相同,这样只需控制主干道一路和支干道一路的交通灯的状态转换就可以达到控制整个交通灯状态转换的功能。控制器A的输出R,Y,GA,GB分别控制主干道红灯亮,黄灯亮,由东向西绿灯亮,由东转南绿灯亮;控制器B的输出BR,BY,BGA,BGB分别控制支干道红灯亮,黄灯亮,由北转西绿灯亮,由北向南绿灯亮。

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 学术论文 > 其他

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服