收藏 分销(赏)

简易洗衣机控制器的设计与制作.doc

上传人:a199****6536 文档编号:3549799 上传时间:2024-07-09 格式:DOC 页数:27 大小:319.54KB
下载 相关 举报
简易洗衣机控制器的设计与制作.doc_第1页
第1页 / 共27页
简易洗衣机控制器的设计与制作.doc_第2页
第2页 / 共27页
简易洗衣机控制器的设计与制作.doc_第3页
第3页 / 共27页
简易洗衣机控制器的设计与制作.doc_第4页
第4页 / 共27页
简易洗衣机控制器的设计与制作.doc_第5页
第5页 / 共27页
点击查看更多>>
资源描述

1、毕业设计(论文)诚信声明书本人声明:本人所提交的毕业论文简易洗衣机控制器的设计与制作是本人在指导教果,均在论文中加以说明;有关教师、同学和其别人员对本文的写作、修订提出过并为我在论文中加以采纳的意见、建议,均已在我的致谢辞中加以说明并深致谢意。本论文和资料若有不实之处,本人承担一切相关责任。师指导下独立研究、写作的成果,论文中所引用别人的无论以何种方式发布的文字、研究成论文作者: (签字) 时间: 年 月 日指导教师已阅: (签字) 时间: 年 月 日目 录摘 要IABSTRACTII引 言.III第1章 绪论11.1洗衣机的背景11.2设计的目的与意义21.3设计的重要任务21.4设计的基本

2、内容.21.4.1秒脉冲发生器的设计.21.4.2分、秒计数器电路的设计.21.4.3循环控制电路的设计.21.4.4单稳态延时电路的设计.31.5可行性的分析.3第2章设计所用的材料及介绍42.1 NE555介绍与工作原理42.2 74LS192 介绍与工作原理42.3 74LS194 介绍与工作原理52.4七段LED数码数码管62.5 74LS76 介绍与工作原理7第3章设计总体思绪,基本原理和框图83.1设计总体思绪83.2基本原理83.3 系统设计框图9第4章单元电路的设计104.1循环控制电路104.1.1其基本原理简述.104.1.2其原理图.114.2总控制电路114.2.1总控

3、制电路连接.114.2.2总电路图12第5章仿真调试结果及总结145.1 仿真调试环节145.2故障分析与改善145.3总结与体会14参考文献16致 谢17摘 要本设计是有关简易洗衣机控制器的设计,运用数字电子技术的知识,通过multisim仿真软件简朴地设计仿真洗衣机控制器的过程。从课程设计规定来看,既要设立洗涤的时间,又要实现电机的正转、反转、暂停,电机的运转过程涉及正转20秒、暂停10秒、反转20秒、再暂停10秒,周期恰好是60秒,理所当然的分钟计数器、秒计数器是一定要有的。此外,我们可以用555定期器构成的多谐振荡器来产生脉冲,用555定期器构成的单稳态触发器来产生报警信号。除了这些,

4、还规定电路有清零和置数的功能,这些用逻辑电平开关即可以实现,还要用7段LED数码管实现时间的显示功能,用LED显示灯来显示电机的正转、反转、暂停。关键词:555定期器、反转正转暂停AbstractThe design is simple washing machine controller design,the use of digital electronic technology ,knowledge,multisim simulation software to design a simulation process of the washing machine controller.V

5、iew from the curriculum design requirements,it is necessary to set the washing time,but also the motor is turn,reverse ,pause for 10 seconds,20seconds reverse,and then pause for 10 seconds,the cycle is exactly 60 seconds,the natural-minute counter,seconds counter is a must.In addition ,we can consti

6、tute a multivibrator using 555 timer to generate a pulse,consisting of monostable 555 timer to generate an alarm signal.In addition to these,also requires that the circuit has cleared and set the number of functions, the logic level switch that can be achieved,but also of time with 7-segment LED dig

7、ital tube display,with LED indicators to display the motor forward,reverse ,pause.Keywords:555 timer,reverse,forward,pause引 言现代社会人们的生活水平越来越高,洗衣机作为一种方便人们洗涤的设备进入了千家万户。洗衣机控制器的设计也变的越来越重要。随着大规模集成电路技术的发展,人们的平常生活越来越数字化和集成化,洗衣机控制器的发展也在不断的前进。根据设计内容和设计规定,本次课程设计用到了我们熟悉的555定期器,74LS192芯片,74LS194芯片,数码管等电路器件。通过一些基

8、本的电路元件(电阻、电容)和门电路(非门、或门、与门、与非门、异或门)将各个电路器件连接起来,实现我们所要实现的功能。第一章 绪 论1.1洗衣机的背景随着电力革命不断进一步,192023美国试制成功世界上第一台电动洗衣机。电动洗衣机的问世,标志着人类家务劳动自动化的开端。 电动洗衣机几经完善,在192023迎来一种崭新的洗衣方式“搅拌式”。这种洗衣机是在筒中心装上一个立轴,在立轴下端装有搅拌翼,电动机带动立轴,进行周期性的正反摆动,使衣物和水流不断翻滚,互相摩擦,以此涤荡污垢。搅拌式洗衣机结构科学合理,受到人们的普遍欢迎。但是2023之后,美国本德克斯航空公司宣布,他们研制成功第一台前装式滚筒

9、洗衣机,洗涤、漂洗、脱水在同一个滚筒内完毕。这意味着电动洗衣机的型式跃上一个新台阶,朝自动化又前进了一大步!直至今日,滚筒式洗衣机在欧美国家仍得到广泛应用。 随着工业化的加速,世界各国也加快了洗衣机研制的步伐。一方面由英国研制并推出了一种喷流式洗衣机,它是靠筒体一侧的运转波轮产生的强烈涡流,使衣物和洗涤液一起在筒内不断翻滚,洗净衣物。1955年,在引进英国喷流式洗衣机的基础之上,日本研制出独具风格、并流行至今的波轮式洗衣机。至此,波轮式、滚筒式、搅拌式在洗衣机生产领域三分天下的局面初步形成。 20世纪60年代以后,洗衣机在一些发达国家的消费市场开始形成系列,家庭普及率迅速上升。此间洗衣机在日本

10、的发展备受瞩目。60年代的日本出现了带干桶的双桶洗衣机,人们称之为“半自动型洗衣机”。70年代,生产出波轮式套桶全自动洗衣机。70年代后期,微电脑控制的全自动洗衣机横空出世,让人耳目一新。到80年代,“模糊控制”的应用使得洗衣机操作更简便,功能更完备,洗衣程序更随人意,外观造型更为时尚进入90年代,由于电机调速技术的提高,洗衣机实现了宽范围的转速变换与调节,诞生了许多新水流洗衣机。此后,随着电机驱动技术的发展与提高,日本生产出了电机直接驱动式洗衣机,省去了齿轮传动和变速机构,引发了洗衣机驱动方式的巨大革命。 在中国,由于历史因素,洗衣机工业起步较晚,直到1978年才正式生产家用洗衣机。但是,随

11、着人们结识的发展,特别是改革开放的不断深化,进入80年代后的洗衣机工业并没有像一些人预料的那样停步不前,而是保持着旺盛的发展势头。1983年洗衣机产量由1978年的400台飙升到365万台,此后全国各地掀起了大规模的技术引进热潮,大约有40多个厂家先后从洗衣机技术先进国日本、英国、法国、意大利、澳大利亚等引进技术60多项。 技术的引进、吸取和创新,极大地提高了国产洗衣机的产业素质与生产能力,缩短了同发达国家之间的差距。如今,我国洗衣机年产量约占世界年产量的四分之一,居于世界首位。除了在数量和品种上满足国内市场外,还出口到北美、欧洲、东南亚等地,跻身于国际洗衣机市场的竞争行列。 1.2 目的与意

12、义普通的洗衣机控制器功能单一,容易损坏,定期不准。随着现代科技的发展,管理水平的完善,普通洗衣机已不能满足人们的规定,新型的数字化集成化的洗衣机控制器应运而生。本设计就是对普通洗衣机控制器运用模块控制原理进行自动化改造,使普通洗衣机具有智能控制功能,提高洗衣的质量,节约能源。1.3 设计的重要任务根据设计规定,在网上或去图书馆查看这方面的资料,掌握所用到芯片的内部原理图及功能情况,在老师和同学的帮助下,设计出制作方案。根据实际的情况,计算出电路各元件参数,画出电路原理图,在multisim软件上仿真,认真的做好调试工作,直到调试成功。下一个阶段是论文的书写,根据已经掌握的资料信息书写毕业论文。

13、1.4 设计的基本内容1.4.1 秒脉冲器的设计我们所需要的秒脉冲发生器可以由一个集成的555定期器构成的多谐振荡器组成,当电源接通后,VCC通过对R1、R2向电容充电。电容上得到电压按指数规律上升,当电容上的电压上身到2/3VCC时,输电压VO为零,电容放电。当电压下降到1/3VCC时,输出电平为高电平,电容放电结束。这样周而复始便形成了振荡。我们要的周期是1秒,频率是1赫兹。1.4.2 分、秒计数电路的设计我们所需要的计数器重要由74LS192芯片及7段数码管构成。74LS192是一个同步十进制加减计数器,它具有双时钟输入,并具有清除和置数等功能。用7段数码管可以实现数字的显示。1.4.3

14、 循环控制电路的设计本电路的设计中重要用到了芯片74LS194,LED灯及各种功能的门电路。芯片74LS194是一个四位双向移位寄存器,它具有左移和右移的功能.把74LS192秒十位上的数提出来作为循环控制系统的输入信号,把秒十位上输出的二进制数转化成两位三个数来控制74LS194,与LED灯同时使用实现左移,右移,暂停功能。1.4.4 单稳态延时电路的设计我们所需要的延时电路可以由一个集成的555定期器构成的单稳态触发器组成。通过电容的充放电产生报警信号。1.5 可行性的分析(1)实验所需元件比较容易理解。(2)从现实意义看,有很大的市场潜力和发展前景。 (3) 从电路设计的合理性看,有充足

15、的理论基础和实践经验。(4)从现有的实验器材与设备来看,有完毕设计的充足条件。第二章 设计所用的材料及介绍本设计所用的芯片及电子器件有NE555 、74LS194 、74LS192 、7段数码管 、LED灯等电子器件。2.1 NE555介绍和工作原理NE555是一种中规模集成电路,只要在外部配上适当阻容元件,就可以方便的构成脉冲发生器和单稳态延时器,在控制、定期、仿生、电子乐器等方面应用很广。图中给出的是集成定期器的电路结构图。图2.1 内部电路图 图2.2 外部引脚图NE555成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特侧发起等脉冲产生也变换电路。它

16、也常作为定期器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。NE555的内部电路框图和外部引脚排列图分别如图2.1和图2.2所示。它的内部涉及两个电压比较器,三个等值串联电阻,一个RS触发器,一个放电管T及功率输出级。它提供两个基准电压1/3Vcc和2/3Vcc。2.2 74LS192介绍与工作原理其中,74LS192的引脚图如图2.3所示图 2.3 74LS192的引脚图74LS192的功能表如表2.1所示:表2.1 74LS192功能表 一百进制分计数器和六十秒计数器的原理是同样的,不同的只是它们的输入脉冲和进制不同而已,我们用四片74LS192来实现分计数和秒计数功能,我们要的

17、只是减计数,所以我们把它的UP端接到高电平上去,DOWN端接到秒脉冲上;十分秒位上的输入端B、C端接到高电平上,即从输入端置入0110(十进制的6),秒十位的LD端和借位端BO联在一起,再把秒位的BO端和十秒位的DOWN联在一起。当秒脉冲从秒位的DOWN端输入的时候秒计数的192开始从9减到0;这时,它的借位端BO 会发出一个低电平到秒十位的输入端DOWN,秒十位的计数从6变到5,一直到变为0;当高低位全为零的时候,秒十位的BO发出一个低电平信号,DOWN为零时,置数端LD等于零,秒十位完毕并行置数,下一个DOWN脉冲来届时,计数器进入下一个循环减计数工作中。2.3 74LS194介绍与工作原

18、理74LS194的外部引脚如图:图 2.4 74LS194的引脚图74LS194是四位双向通用移位寄存器。功能:并行输入和并行输出。 四种操作方式:同步并行寄存,右移,左移,不动。 正沿时钟触发,无条件直接清除。引出端符号:CP 时钟输入信号CR 清除端(低电平有效)Sr 右移串行数据输入端Sl 左移串行数据输入端D0-D3 并行数据输入端Q0-Q3 输出端S0,S1 控制端各种情况下的功能:当清除端(CR)为低电平时,输出端(Q0-Q3)均为低电平当工作方式控制端均为高电平时,在时钟上升沿作用下,并行数据被送往相应的输出端,此时串行数据被严禁。当S0为高电平,S1为低电平,在CP上升沿作用下

19、进行右移操作,数据由Dsr送入。当S0为低电平,S1为高电平,在CP上升沿作用下进行左移操作,数据由Dsl送入。当S0,S1都为低电平时,CP被严禁。对于74LS194,只有当CP为高电平时S0,S1才干改变。2.4 七段LED数码数码管LED数码管根据LED的接法不同分为共阴和共阳两类,了解LED的这些特性,对编程是很重要的,由于不同类型的数码管,除了它们的硬件电路有差连在一起即为共阳式。以共阴式为例,如把阴极接地,在相应段的阳极接上正电源,该段即会发光。当然,LED的电流通常较小,一般均需在回路中接上限流电阻。假如我们将b和c段异外,编程方法也是不同的。下图是共阴和共阳极数码管的内部电路,

20、它们的发光原理是同样的,只是它们的电源极性不同而已。将多只LED的阴极连在一起即为共阴式,而将多只LED的阳极接上正电源,其它端接地或悬空,那么b和c段发光,此时,数码管显示将显示数字“1”。而将a、b、d、e和g段都接上正电源,其它引脚悬空,此时数码管将显示“2”。其它字符的显示原理类同,共阴极和共阳极接法如下图: 图2.5 七段LED数码显示管共阴极和共阳极接法2.5 74LS76的介绍与原理74LS76的外部引脚: 图2.6 74LS76的外部引脚图74LS76是一个双JK触发器。它的真值表如下图:表2.2 74LS76的真值表第三章 设计总体思绪,基本原理和框图3.1 设计总体思绪从课

21、程设计规定来看,规定实现电机的正传、反转、暂停,事实上没有电机给我们接上,这回要用四个LED灯的状态来表达,当显示时间前20秒正转、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的分钟计数器、秒计数器是一定要有的。接下来脉冲是一定的了,但是有分钟计数器和秒钟计数器还要考虑是不是要60分频器,就我们所学过的来说实现循环有移位寄存器;尚有个问题,当洗涤时间到了,报警还要一个报警电路,根据人性化、自动化、低成本的设计原则,报警的蜂鸣器不可以长时间的叫,要有个合理的时间,我们可以用一个单稳态电路来实现。看起来还不错啊,假如这样想那就嫌早了点,尚有一个问题要解决:如何提取时间

22、并使循环电路工作的信号?方案有两种:一是直接从数值上进行提取信号来控制一个可以实现循环的74LS194来实现;另一种是制作一个二十进制到十进制的循环转化来把这一分钟走完,但是从电路的复杂限度和经济性来说,显然后者太过于复杂,也不利于接线和排故障,虽然难度会大一些、出成果的时间会比别人晚,但是要设计一个真正可以让用户用放心使用的产品,还得这样做。特别是最后的循环电路用一个194一定可以很容易实现。现在大体上就这样计划,下面说说基本原理。3.2 基本原理一方面,从秒脉冲出来的信号,通过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为

23、零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表达出电机运转状态;当用户设定的洗涤时间结束后,电路报警灯亮并清零;同时电机指示灯熄灭。3.3系统设计框图如下所示:图3.1 系统设计框图第四章 单元电路的设计4.1 循环控制电路4.1.1其基本原理简述还是采用我们方法,把秒十位上的数提出来作为循环控制系统的输入信号,秒位上的都是相同的,可以不管。我们的目的是把秒十位上输出的二进制数转化成两位三个数:74LS194的功能表表 4.1 74LS194的功能表QDQCQBQAS0S1状态010110右移010010右移001111闪烁001001左移0001

24、01左移000011闪烁现在我们把192的QA、QB接上一个异或门,QC接上一个反相器,然后把它们出来的信号接到一个与非门后再接到So端,把反相器出来的信号输入到S1端;这样就实现了上述规定。当我们开机时,计数器时被清零的,QA、QB、QC没有输出,这时输出的是000,194的So、S1为11,移位寄存器置数为0010。当192输出的是0101时,So、S1为10,移位寄存器右移动作,由于So、S1的两端接一个与非门出1,再通过一个接在194QB端的与门,结果输出来到发光二极管的还是高电平(如上图所示);从此节点输出,通过反相器到达节点的是低电平与门U16没有输出脉冲,所以194做右移,直到下

25、一个状态的到来。显然当192的输出是0100是也是同样的。当输出是0011时,So、S1的状态是11,194处在并行置数,其QB端输出一个高电平1,与此同时,从So、S1输出的两个1进入与非门U14,但是在此节点是一个低电平0,所以节点32没有高电平输出。而节点27为高电平1,这时通过U16的脉冲信号可以输出了,与U16的或门U17、U18、U19、U20就可以输出脉冲信号到发光二极管实现闪烁;当192输出的是0010时, So、S1状态从11变为01,移位寄存器192做左移循环,在脉冲的输入下。同右移同样,从So、S1输出到U14再出来的是一个高电平1,所以与门U15输出高电平;当计数器19

26、2输出的是0001时,还是和0010时同样;最后当192输出是0000时,其又和输出0011时同样,移位寄存器194处在置数状态,放光二极管闪烁。到此,提取信号、循环电路完毕。4.1.2 其原理图图 4.1 循环控制电路图4.2 总控制电路4.2.1 总控制电路连接现在各单元电路完毕了,最后要把它们有效的结合起来联合工作,实现目的功能。我们规定在给分钟置数的同时秒要显示为零;外部还要有强制停止并清零;尚有暂停功能。对于置数来说,我们可以在分钟计数器的UP端到高电平之间各用一个开关接上,就当给它一个低电平时,计数器就往上增长1。但时,192规定在UP端工作的同时,其DOWN端要为高电平,且秒计数

27、器要为零,我们可以让秒计数器清零,同时把脉冲停止了。在高电平上接上一个开关,让它接到一个JK触发器上,同时把JK触发器的JK端接1,让它实现触发功能。让它的Q端输出到秒计数器的清零端,这样刚开机或者再按一下开机键就可以对秒计数器清零。把JK触发器的Q反端和从分计数器借位端Bo反相出来的信号接到一个与非门上,从与非门出来的信号接到分计数器的DOWN端来保证置数的时候DOWN是高电平。但是假如仅是这样的话,当置数完毕再一次按开机键(如图中的J3所示)时,没有脉冲信号输入到秒计数器的DOWN端,192并不可以工作。我们可以把脉冲和JK触发器Q反端接到一个与门上,然后把它上输出端接到秒计数器的DOWN

28、端以控制计数。现在的问题是,循环的发光二极管没有受到控制键的控制,所以还得把受到控制的从与门U18出来的信号输出到移位寄存器194的时钟信号CLK上。最后要解决一个大问题,当所置的洗衣时间完毕后,要发出报警并自动清零。至于报警电路我们知道当计数器全为零的时候,从秒位会发出一个借位信号,一直接到十分位上去,十分位会发出一个借位信号,我们可以用这个信号来作为报警并清零的信号,平时192的借位端保持的是高电平,当有借位信号时,其变成0,我们在分十位借位端接一个非门,再把它和分位的CLR端一起接到一个与门,也需要把它接到一个JK触发器(U12)上作为其时钟信号,其后再接到单稳态电路的输入端TRI,单稳

29、态的输出端接到蜂鸣器上。而该与门(U24)的另一输入端接在控制开关J3上,或门出去仍然接在JK触发器U11上,这样当洗衣时间完毕后,十分计数器的借位端Bo端发出的0信号就可以通过以上途径而变成1到达与门U24,同时JK触发器U12得到一个触发信号而输出到单稳态,从而发出报警声,但一段时间后其自动停止。同时U24发出的1信号使U11发出1信号而使秒计数器清零;当然秒脉冲由于U11端的Q反端的0信号而使其没有输出,这样本来闪烁的灯不再亮了。到此,一个电路总算还可以了吧,我们有时还需要让它休息一下,我们改变一下洗衣量时,就还需要一个暂停键,这也可以的,只要把秒脉冲切断就可以了。我们可以在控制脉冲输出

30、的与门U18和脉冲到达端之间接入一个由开关控制的JK触发器来控制的与门,这样就可以控制脉冲的输出了。我们知道与门是其中一输入为零时,无论另一端时如何的其输出为零,但一端为1时,另一端输入什么与门就可以输出什么。现在控制端也连起来了,这样,一个完整的洗衣机控制电路就完毕了。4.2.2 总电路图图4.2 总电路图 第五章 仿真调试结果及总结5.1 仿真调试环节 进入了仿真阶段,我们都非常的快乐。终于自己的努力会有成果了,但是结果并不像我们想象的那么简朴。由于前一个阶段对各个单元电路没有深刻的理解,所以很多电路的连接不是太懂。仿真阶段也因此而暂停,唉!我们重新回到前一个阶段,更加进一步的去分析电路的

31、连接情况,特别是总控制电路的连接情况。我们相信有付出就会有回报,加油!随着一遍一遍的阅读材料,本来不懂得地方现在都渐渐的理解了。每一次都会有新的收获,这些收获让我们欢呼雀跃,让我们更加有信心。我们看到了成功的曙光。电路图分析的差不多之后,我们又踏上了仿真的征程。又碰到了新的问题,电路图挺复杂的,元器件太多,一个multisim页面似乎会放不下。我们认真而仔细的布置好每一个元件和每一条线,让所有的空间得到充足的运用,不断的改善,不断的重做,不断的完善。最终做好了这个电路图,虽然有点乱,但是是我们辛勤劳动的结晶。一种成功感油然而生,想想这就是我大学四年所学的东西啊!我知道自己的知识还很有限,只有不

32、断的学习才干让我们变的更聪明。5.2 故障分析与改善值得快乐的是,独立思考之后,能完毕预置功能,脉冲发生器的周期达1.004s,和抱负的1s脉冲相称接近!在仿真的过程中,出现的结果基本符合规定。计数器计时无误,“正转”、“反转”、“暂停”的指示灯动作也对的,定期结束时,能自动清零,同时指示灯亮,表达提醒时间到。局限性的地方就是,设计规定当定期时间达成终点时,一方面使电机停机,同时发出音响信号提醒用户注意。我们没有设计好报警器的电路,只是加了一个指示灯来代替响鸣信号。但是,总的来说,仿真结果还是比较令人满意的,比较成功。 5.3 总结和体会本次课程设计,我们花了很多时间在控制电路上,当时实在是想

33、不到该如何用三个灯分别表达三种状态,去图书馆查资料时也没找到相符合的资料,后来在网上找的论文也有很多问题,规定也和本次课程设计的规定出入很大。最后,是指导老师给我们提供了许多有用的资料和宝贵的意见,这个设计虽然花了我们不少的时间,但是收获真的不小。它使我对课本以及以前学过的知识有了一个更好的总结与理解,也让我们知道了,光分开是不够的,从外面学到的知识要形成一个整体,才干让它发挥更好的作用。 在设计过程中,对设计方案进行了很多次的修改,虽然也有查阅资料,但更多的是自己的思考。记得在设计三种状态的显示灯的控制电路时,整整花了两天的时间,试了好几个方案,在网上查的资料都很繁琐,且看不懂原理,到最后还

34、是决定自己慢慢想,最终设计出来,虽然结果不是很完美,但还是比较满意的。 通过本次课程设计,让我对实验原理也有了更多的了解,对数电中常用的芯片功能及设计方法如运用卡诺图等有了更深刻的了解,对设计实验也有了更深切的体会,以前在做数电实验时也有部分是设计性的实验,但是基本上到最后都是老师告诉我们设计电路,自己虽然也有思考,但基本上没有想出来过,也没起到让自己真正来设计某个电路的目的,而这次有了足够的时间,基本上都是自己一点一点设计的,从某方面来说,还是很有成就感的。 除此之外,它让我对课本知识进行了融会贯通,毕竟,要设计一个完整的电路,单靠某一章节或某一部分的知识是不够的,要在整本书中找自己需要的东

35、西,简朴的说,就要做到学以致用。在考虑问题时,不要指望一次就能把问题考虑成熟,要做好不断否认并不断修改的准备。 在没有做课设之前,我对课本知识是很模糊的学习也是为了应付一下期末考试,现在让我对课本知识有了更透彻的了解,也算是做到了理论联系实际吧。 与其临渊羡鱼,不如退而结网,这也是我这次课设最大的体会,与其苦苦思考别人那样子设计是什么原理,为什么要那样做,不如自己用已有的知识,重新考虑并设计,按自己的思绪,达成自己所需要的效果,我觉得,这才是课程设计真正的目的。参考文献1 阎石. 数字电子技术基础(第5版). 高等教育出版社,2023.122 杨素行.模拟电子技术基础简明教程(第3版).高等教

36、育出版社,2023.103 彭介华 .电子技术课程设计指导(第1版).高等教育出版社.2023.124 谢自美.电子线路设计、实验、测试(第3版).华中科技大学出版社.2023.085 张霰雯. 555时基电路的特点及应用. 内蒙古科技与经济,20236 赵广林. 常用电子元器件辨认/检测/选用一读通.电子工业出版社,2023.7 洪志良. 模拟集成电路分析与设计. 北京: 科学出版社,2023.8 State Transition Analyzing of Flip-flop byMultisim Software REN Jun-yuan9 Zhang Shui-ying Practice

37、 And Application of Multisim in digital Electronic experiment teaching Computer Science & Education August 3-5, 2023.致 谢从本次毕业设计中,我体会到学无止境这个成语的深刻含义。大学四年急忙而过,我们学到了很多知识,但是这次毕业设计让我明白了自己尚有很多东西需要学习。在李老师的悉心指导下,我们成功的完毕了任务。本课题的的设计及论文的书写都是在李老师的帮助下完毕的,在设计制作的过程中,李老师为我们提供了很多资料,平时的时候也经常督促我们要认真完毕毕业设计。尽管李老师繁忙,但是在我们做毕业设计的过程中,他仍然会抽出时间了解我们的进度,悉心的指导我们。在此,我要衷心地感谢李老师,说一句“老师您辛劳了!”。此外,我也要感谢我们的同学,他们也给了我很大的帮助。我深知在我们的身后尚有很多支持我们的人,感谢生命科学技术系的领导,谢谢你们这四年来对我们的关心和细心的教导。感谢四年来教育过我们的老师,你们的默默耕耘,才有了我们今天的成就,谢谢你们的哺育。再次感谢我们的同学,与你们生活在一起非常快乐,谢谢你们的帮助与支持。最后要谢的就是我们的母校,谢谢您对我们的哺育,愿母校的明天更加美好!

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 包罗万象 > 大杂烩

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服