收藏 分销(赏)

室内温度控制报警器.pdf

上传人:精*** 文档编号:3373915 上传时间:2024-07-03 格式:PDF 页数:45 大小:1.69MB
下载 相关 举报
室内温度控制报警器.pdf_第1页
第1页 / 共45页
室内温度控制报警器.pdf_第2页
第2页 / 共45页
室内温度控制报警器.pdf_第3页
第3页 / 共45页
室内温度控制报警器.pdf_第4页
第4页 / 共45页
室内温度控制报警器.pdf_第5页
第5页 / 共45页
点击查看更多>>
资源描述

1、 word 文档 可自由复制编辑 毕业设计(论文)题 目:室内温度控制报警器 word 文档 可自由复制编辑 大学(华东)现代远程教育 毕业设计(论文)任务书 发给学员 1设计(论文)题目:室内温度控制报警器 2学生完成设计(论文)期限:年 月 日至 年 月 日 3设计(论文)课题要求:软件(protel 99)的简单介绍以及使用软件进行控制器的设计,220v 交流供电。当设定温度值和实际温度值偏差超过最小偏差时,系统工作,每 1的偏差要求控制器开启 1 秒,对温度进行调节,系统开启时间为 110 秒,当系统开启时间到达后,系统必须关闭 5 秒钟才能进行下一次的控制动作。设定温度范围为:208

2、0 摄氏度。可根据设定的温度通过该温度控制报警器的温度进行控制,使系统工作在设定的温度点,要求最小偏差2%4实验(上机、调研)部分要求内容:熟练利用 PROTEL99SE 设计程序,并对程序进行调试。实验结束后,要对实验成果进行总结,分析,尽可能提高程序的正确率与可行性。对实验的心得要多和辅导老师交流.5.文献查阅要求:1 张志良.单片机原理与控制技术.北京:机械工业出版社 2004.12 2 严慰敏.数据结构.北京:清华大学出版社 2004.01 3 王闵.计算机组成原理.北京:电子工业出版社 2002.10 4 方敏.操作系统教程.北京:电子科技出版社 2003.02 5 刘坚.数据与计算

3、机通信.北京:高等教育出版社 2000.10 6发 出 日 期:年 月 日 7学员完成日期:年 月 日 指导教师签名:学 生 签 名:word 文档 可自由复制编辑 摘 要 本设计采用直接数字控制(DDC)对加热炉进行控制,使其温度稳定在某一个值上。并且具有键盘输入温度给定值,LED 数码管显示温度值和温度达到极限时提醒操作人员注意的功能。温度是工业生产中常见的工艺参数之一,任何物理变化和化学反应过程都与温度密切相关,因此温度控制是生产自动化的重要任务。一.对于不同生产情况和工艺要求下的温度控制,所采用的加热方式,燃料,控制方 二.温度控制系统的组成框图采用典型的反馈式温度控制系统,组成部分见

4、正文图。其中数字控制器的功能由微型机算机实现。三.温度控制系统结构图及总述图中由 420mA 变送器 I,A/D 转换器构成输入通道,用于采集炉内的温度信号。其中,变送器选用 XTR101,它将热电偶信号(温度信号)变为 420mA 电流输出,再由高精密电流/电压变换器 RCV420 将 420mA 电流信号变为 05V 标准电压信号,以供 A/D 转换用。转换后的数字量与炉温的给定值数字化后进行比较,即可得到实际炉温和给定炉温的偏差。炉温的设定值由键盘输入。由 MC51 构成的数字控制器按最小行运算,计算出所得。关键词:PID 控制器,A/D 转换器,D/A 转换器 word 文档 可自由复

5、制编辑 目 录 摘 要.i 目录.ii 第 1 章 前言.1 第 2 章 开发平台及工具的选用.2 2.1 PROTEL 99SE 简介.2 2.2 Protel99 组成.3 2.3 Protel99 主要特色.4 2.4 Protel99 的主要特性如下:.4 第 3 章 KEIL 8.02简介.6 3.1 8051 开发工具.6 3.2 uVision2集成开发环境.6 3.2.1 项目管理.6 3.2.2 集成功能.7 3.3 编辑器和调试器.7 3.3.1 源代码编辑器.7 3.3.2 C51 编译器.8 3.3.3 代码优化.9 3.3.4 RTX51实时核模块.10 3.3.5

6、RTX51实时核模块.11 3.3.6 测试程序.12 3.3.7 C51V7 版增强功能介绍.13 3.4 在系统编程软件 SLISP 简介.13 第 4 章 系统总体设计.15 4.1 元器件基本知识.15 4.1.1 单片机 AT89S51 .15 word 文档 可自由复制编辑 4.1.2 模数转换器 AD0809 .20 4.1.3 温度传感器 AD590 .23 4.1.4 数码管 LED 显示器.27 4.2原理图及功能.28 4.2.1 原理图(图 4.2.1-2).28 4.2.2 功能.29 第 5 章 软件详细设计.30 5.1 流程图.30 5.2 源代码.33 第 6

7、 章 结论.37 致 谢.38 参考文献.39 word 文档 可自由复制编辑 第 1 章 前言 控制算法是微机化控制软件系统的一个重要组成部分,整个系统的控制功能主要由控制算法来实现。目前提出的控制算法有很多种。在生产过程自动的发展历程中,PID 控制是历史最久、生命力最强的基本控制方式。它是最早发展起来的控制策略之一,由于其算法简单、优越性好和可靠性高,实际运行经验和理论分析都表明,PID 控制能满足相当多工业对象的控制要求,尤其适用于可建立精确模型的确定性控制系统。虽然随着工业现代化的发展和其它各种先进技术的发展,自动化技术将会有更新的发展,但是,PID 控制技术仍然不会过时,它还将在今

8、后很长一段时间内占据主导地位。近十几年来,单片机在生产过程控制、自动检测、数据采集与处理、科技计算、商业管理和办公室自动化等方面获得了广泛的应用。单片机具有体积小、重量轻、耗能省、价格低、可靠性高和通用灵活等优点,因此也广泛应用于卫星定向、汽车火花控制、交通管理和微波炉等专用控制上。近几年来,单片机的发展更为迅速,它已渗透到诸多学科和领域,以及人们生活的各个方面。由于单片机技术在各个领域正得到越来越广泛的应用,世界上许多集成电路生厂家相继推出了各种类型的单片机。在单片机家族的众多成员中,MCS-51 系列单片机以其优越的性能、成熟的技术及高可靠性和高性能价格比,迅速占领了工业测控和自动化工程应

9、用的主要市场,成为国内单片机应用领域中的主流。目前可用于 MCS-51 系列单片机开发的硬件越来越多,与其配套的各类开发系统、各种软件也日趋完善,因此,可以极方便的利用现有资源,开发出用于不同目的的各类应用系统 word 文档 可自由复制编辑 第 2 章 开发平台及工具的选用 2.1 PROTEL 99SE 简介 随着计算机业的发展,从 80 年代中期计算机应用进入各个领域。在这种背景下,87、88 年由美国 ACCEL Technologies Inc 推出了第一个应用于电子线路设计软件包TANGO,这个软件包开创了电子设计自动化(EDA)的先河。这个软件包现在看来比较简陋,但在当时给电子线

10、路设计带来了设计方法和方式的革命,人们纷纷开始用计算机来设计电子线路,直到今天在国内许多科研单位还在使用这个软件包。随着电子业的飞速发展,TANGO 日益显示出其不适应时代发展需要的弱点。为了适应科学技术的发展,Protel Technology 公司以其强大的研发能力推出了 Protel For Dos 作为 TANGO 的升级版本,从此Protel 这个名字在业内日益响亮。表 2-1 开发软件和版本与功能 软件名称 版本 功能 PROTEL 99SE 绘制原理图 KEIL 8.02 原程序的编写、调试以及烧写文件的生成 SL ISP 1.4.2.3 烧写程序 八十年代末,Windows 系

11、统开始日益流行,许多应用软件也纷纷开始支持 Windows 操作系统。Protel 也不例外,相继推出了Protel For Windows 1.0、Protel For Windows1.5 等版本。这些版本的可视化功能给用户设计电子线路带来了很大的方便,设计者再也不用记一些繁琐的命令,也让用户体会到资源共享的乐趣。word 文档 可自由复制编辑 九十年代中,Win95 开始出现,Protel 也紧跟潮流,推出了基于Win95 的 3.X 版本。3.X 版本的 Protel 加入了新颖的主从式结构,但在自动布线方面却没有什么出众的表现。另外由于 3.X 版本的 Protel 是16 位和 3

12、2 位的混合型软件不太稳定。98 年,Protel 公司推出了给人全新感觉的 Proel98。Protel98 以其出众的自动布线能力获得了业内人士的一直好评。99 年,Protel 公司又推出了最新一代的电子线路设计系统Protel99。在 Protel99 中加入了许多全新的特色 2.2 Protel99 组成 1.原理图设计系统 原理图设计系统是用于原理图设计的Advanced Schematic 系统。这部分包括用于设计原理图的原理图编辑器 Sch 以及用于修改、生成零件的零件库编辑器 SchLib。2.印刷电路板设计系统 印刷电路板设计系统是用于电路板设计的 Advanced PCB

13、。这部分包括用于设计电路板的电路板编辑器 PCB 以及用于修改、生成零件封装的零件封装编辑器 PCBLib 3.信号模拟仿真系统信号模拟仿真系统是用于原理图上进行信号模拟仿真的 SPICE 3f5 系统。4.可编程逻辑设计系统 Protel99 内置编辑器 可编程逻辑设计系统是基于 CUPL的集成于原理图设计系统的 PLD设计系统。这部分包括用于显示、编辑文本的文本编辑器 Text 和用于显示、编辑电子表格的电子表格编辑器 Spread。word 文档 可自由复制编辑 2.3 Protel99 主要特色 Protel99 是基于 Win95/WinNT/Win98/Win2000 的纯 32

14、位电路设计制版系统。Protel99 提供了一个集成的设计环境,包括了原理图设计和PCB 布线工具,集成的设计文档管理,支持通过网络进行工作组协同设计功能。2.4 Protel99 的主要特性如下:Protel99 系统针对 Windows NT4/9X 作了纯 32 位代码优化,使得Protel99 设计系统运行稳定而且高效。SmartTool(智能工具)技术将所有的设计工具集成在单一的设计环境中。SmartDoc(智能文档)技术将所有的设计数据文件储存在单一的设计数据库中,用设计管理器来统一管理。设计数据库以.ddb 为后缀方式,在设计管理器中统一管理。使用设计管理器 统一管理的文档是在P

15、rotel99 中新提出来的,以前版本中没有。SmartTeam(智能工作组)技术能让多个设计者通过网络安全地对同一设计进行单独设计,再通过工作组管理功能将各个部分集成到设计管理器中。PCB 自动布线规则条件的复合选项极大的方便了布线规则的设计。用在线规则检查功能支持集成的规则驱动 PCB 布线。继承的 PCB 自动布线系统最新的使用了人工智能技术,如人工神经网络、模糊专家系统、模糊理论和模糊神经网络等技术,即使对于很复杂的电路板其布线结果也能达到专家级的水平 对印刷电路板设计时的自动布局采用两种不同的布局方式,即Cluster Placer(组群式)和基于统计方式(Statistical P

16、lacer)。在以前版本中只提供了基于统计方式的布局。word 文档 可自由复制编辑 Protel99 新增加了自动布局规则设计功能,Placement 标签页是在Protel99 中新增加的,用来设置自动布局规则。增强的交互式布局和布线模式,包括“Push-and-shove”(推挤)。电路板信号完整性规则设计和检查功能可以检测出潜在的阻抗匹配、信号传播延时和信号过载等问题。Signal Integrity 标签页也是在Protel99 中新增加的,用来进行信号完整性的有关规则设计。零件封装类生成器的引入改进了零件封装的管理功能。广泛的集成向导功能引导设计人员完成复杂的工作。原理图到印刷电路

17、板的更新功能加强了 Sch 和 PCB 之间的联系。完全支持制版输出和电路板数控加工代码文件生成。可以通过 Protel Library Development Center 升级广泛的器件库。可以用标准或者用户自定义模板来生成新的原理图文件。集成的原理图设计系统收集了超过 60000 元器件。通过完整的 SPICE 3f5 仿真系统可以在原理图中直接进行信号仿真。可以选择超过 60 中工业标准计算机电路板布线模板或者用户可以自己生成一个电路板模板 Protel99 开放的文档功能使得用户通过 API 调用方式进行三次开发。集成的(Macro)宏编程功能支持使用 Client Basic 编程

18、语言 word 文档 可自由复制编辑 第 3 章 KEIL 8.02 简介 3.1 8051 开发工具 KEIL C51 标准 C 编译器为 8051 微控制器的软件开发提供了 C 语言环境,同时保留了汇编代码高效,快速的特点。C51 编译器的功能不断增强,使你可以更加贴近 CPU 本身,及其它的衍生产品。C51 已被完全集成到 uVision2 的集成开发环境中,这个集成开发环境包含:编译器,汇编器,实时操作系统,项目管理器,调试器。uVision2 IDE 可为它们提供单一而灵活的开发环境。C51 V7 版本是目前最高效、灵活的 8051 开发平台。它可以支持所有 8051 的衍生产品,也

19、可以支持所有兼容的仿真器,同时支持其它第三 方开发工具。因此,C51 V7 版本无疑是 8051 开发用户的最佳选择。3.2 uVision2 集成开发环境 3.2.1项目管理 工程(project)是由源文件、开发工具选项以及编程说明三部分组成的。一个单一的 uVision2 工程能够产生一个或多个目标程序。产生目标程序的源文件构成“组”。开发工具选项可以对应目标,组或单个文件。Vision2 包含一个器件数据库(device database),可以自动设置汇编器、编译器、连接定位器及调试器选项,来满足用户充分利用特定微控制器的要求。此数据库包含:片上存储器和外围设备的信息,扩展数据指针(

20、extra data pointer)或者加速器(math accelerator)的特性。uVision2可以为片外存储器产生必要的连接选项:确定起始地址和规模。word 文档 可自由复制编辑 3.2.2 集成功能 uVision2 的强大功能有助于用户按期完工。(1).集成源极浏览器利用符号数据库使用户可以快速浏览源文件。用详细的符号信息来优化用户变数存储器。(2).文件寻找功能:在特定文件中执行全局文件搜索。(3).工具菜单:允许在 V2 集成开发环境下启动用户功能。(4).可配置 SVCS 接口:提供对版本控制系统的入口。(5).PCLINT 接口:对应用程序代码进行深层语法分析。(6

21、).Infineon的 EasyCase 接口:集成块集代码产生。(7).Infineon的 DAVE 功能:协助用户的 CPU 和外部程序。DAVE 工程可被直接输入 uVision2 3.3 编辑器和调试器 3.3.1 源代码编辑器 uVision2 编辑器包含了所有用户熟悉的特性。彩色语法显像和文件辩识都对 C 源代码进行和优化。可以在编辑器内调试程序,它能提供一种自然的调试环境,使你更快速地检查和修改程序。2.断点 uVision2 允许用户在编辑时设置程序断点(甚至在源代码未经编译和汇编之前)。用户启动 V2 调试器之后,断点即被激活。断点可设置为条件表达式,变量或存储器访问,断点被

22、触发后,调试器命令或调试功能即可执行。在属性框(attributes column)中可以快速浏览断点设置情况和源程序行的位置。代码覆盖率信息可以让你区分程序中已执行和未执行的部分。3.调试函数语言 word 文档 可自由复制编辑 uVision2 中,你可以编写或使用类似 C 的数语言进行调试。(1).内部函数:如 printf,memset,rand 及其它功能的函数。(2).信号函数:模拟产生 CPU 的模拟信号和脉冲信号(simulate analog and digital inputs to CPU)。(3).用户函数:扩展指令范围,合并重复动作。4.变量和存储器 用户可以在编辑器

23、中选中变呈来观察其取值。双层窗口显示,可进行以下调整:(1).当前函数的局部变量 (2).用户在两个不同 watch 窗口页面上的自定义变量 (3).堆栈调用(call stack)页面上的调用记录(树)(call tree)(4).不同格式的四个存储区 3.3.2 C51 编译器 KEIL C51 编译器在遵循 ANSI 标准的同时,为 8051 微控制器系列特别设计。语言上的扩展能让用户使用应用中的所有资源。1.存储器和特殊功能寄存器的存取 C51 编译器可以实现对 8051 系列所有资源的操作。SFR 的存取由 sfr和 sbit 两个关键字来提供。变量可旋转到任一个地址空间。用关键字a

24、t还能把变量放入固定的存储器存储模式(大,中,小)决定了变量的存储类型。连接定位器支持的代码区可达 32 个,这就允许用户在原有 64KROM的 8015 基础上扩展程序。在 V2 的编译器和许多高性能仿真器中,可以支持应用程序的调试。2.中断功能 C51 允许用户使用 C 语言编写中断服务程序,快速进、出代码和寄存器区的转换功能使 C 语言中断功能更加高效。word 文档 可自由复制编辑 可再入功能是用关键字来定义的多任务中断或非中断的代码要求必须具备可再入功能。3.灵活的指针 C51 提供了灵活高效的指针。通用指针用 3 个字节来存储存储器类型及目标地址,可以在 8051 的任意存储区内存

25、取任何变量。特殊指针在声明的同时已指定了存储器类型,指向某一特定的存储区域。由于地址的存储只需 12 字节,因此,指针存取非常迅速。3.3.3 代码优化 1.通用代码优化 (1).常量重叠(constant folding)(2).通用子表达式删除(common subexpression elimination)7.循环(loop rotation)(3).长度缩减速(reduction)8.死码删除(dead code elimination)(4).控制流优化(control flow optimization)9.通用Tail Merging (5).寄存器变量使用(register

26、variable usage)10.通用子程序块打包(block subroutine packing)(6).寄存器间参数传递(parameter passing in register)2.8051 特殊优化 (1).孔颈优化(peephole optimization)4.数字覆盖(overlaying)(2).跳转分支优化(switch-case optimization)5.扩展入口优化(extended access optimization)(3).中断函数优化(interrupt function optimization)3.寄存器优化 C51 为函数参数和局域变量分配了 9

27、 个 CPU 寄存器。寄存器间最多可传递三个参数。P 全局寄存器优化可删除不必要代码,优化 CPU 寄存器设备。4.C 语言实时库 word 文档 可自由复制编辑 C51 实时库含 100 多种功能,其中大多数是可再入的。库支持所有的 ANSI C 的程序,与嵌入式应用程序的限制相符。固有程序为硬件提供特殊指令,如:nop,testbit,rol,ror。5.A51 宏汇编 A51 宏汇编支持标准宏和 MPL 宏。要实现快速产生汇编程序 SHELL,就直接使用 C51 编译器的 SRC。在新的 A51 V7 版本中,允许用户 C 包含的头文件来定义常量和 SFR。如今,一个单一头文件可被应用到

28、 X 程序和汇编程序中。3.3.4 RTX51 实时核模块 RTX51 多任务实时内核可以简化那些过程复杂,时间紧迫的软件项目。RTX51 是无版税的,完全集成到 Keil C51 工具链上,支持 C 语言和汇编语言程序,也完全支持代码区的应用程序。RTX51 完全支持:标准任务(用一个共享寄存器区)快速任务(用一个专用寄存器区)CAN 邮箱(为内部任务通信)存储池(memory pools)RTX51 Tiny 是简化后的内核,适于单片机系统。RTX51 和 RTX51 Tiny 都可使用户创建和撤消任务,发送和接收信号,也可执行其它操作系统管理。1.上下文切换(任务切换)().轮询方式:(

29、round-robin)每个任务在一个规定的时间段内运行,当一个任务的时间段结束时,另一个任务随之开始。(2).抢占方式(preemptive):优先级最高的任务最先执行,直到被一个具有更高优先级的任务打断,或是为等待某个事件而主动放弃控制权。2.事件与中断 word 文档 可自由复制编辑 RTX 51 支持下列事件 (1).Timeouts:延迟到特定定时点 (2).Intervals:延迟一段时间间隔 (3).Signals:协调内部任务操作 (4).Messages:在任务间互传信息(5).Interrupts:处理硬件中断 (6).Semaphores:共享有限资源 RTX51 同时支

30、持中断功能,可以向任务中发送或从任务中接收信号和信息。3.3.5 RTX51 实时核模块 RTX51 多任务实时内核可以简化那些过程复杂,时间紧迫的软件项目。RTX51 是无版税的,完全集成到 Keil C51 工具链上,支持 C 语言和汇编语言程序,也完全支持代码区的应用程序。RTX51 完全支持:标准任务(用一个共享寄存器区)快速任务(用一个专用寄存器区)CAN 邮箱(为内部任务通信)存储池(memory pools)RTX51 Tiny 是简化后的内核,适于单片机系统。RTX51 和 RTX51 Tiny 都可使用户创建和撤消任务,发送和接收信号,也可执行其它操作系统管理。1.上下文切换

31、(任务切换)().轮询方式:(round-robin)每个任务在一个规定的时间段内运行,当一个任务的时间段结束时,另一个任务随之开始。(2).抢占方式(preemptive):优先级最高的任务最先执行,直到被一个具有更高优先级的任务打断,或是为等待某个事件而主动放弃控制权。2.事件与中断 word 文档 可自由复制编辑 RTX 51 支持下列事件 (1).Timeouts:延迟到特定定时点 (2).Intervals:延迟一段时间间隔 (3).Signals:协调内部任务操作 (4).Messages:在任务间互传信息(5).Interrupts:处理硬件中断 (6).Semaphores:共

32、享有限资源 RTX51 同时支持中断功能,可以向任务中发送或从任务中接收信号和信息。3.3.6 测试程序 uVision2 调试器具备所有常规源极调试,符号调试特性以及历史跟踪,代码覆盖,复杂断点等功能DDE 界面和 shift 语言支持自动程序测试 1.CPU 和外设模拟装置 uVision2 为 8051 及衍生产品提供了高速模拟功能和片上扩展口在对话框内可直接观察和修改值,也可以用预装的 C-LIKE宏指令书写符号函数来提供动态输入。2.目标监控器 uVision2 含一个可配置的监控器,可测试目标器件上的软件体。监控器用 uVision2 的调试器直接工作,可支持代码区。它要求目标系统

33、具备 6 字节堆栈空间,6KB 的代码和 256 字节 Xdata RAM。c.MCB517/251 启动工具包 在开始一项 8051 工程时,MCB 启动工具会对你有很大帮助。每一个启动工具包括一套 2K 字节的开发工具和许多可快速运行的举例程序。用户可在检测 8051 性能的同时,查看开发工具的可行性。word 文档 可自由复制编辑 MCB517AC 板含高性能 Infineon C517A 单片机,它提供标准 8052 外围设备和 A/D 转换器,PWM,搜索比较,8 位数据指针,一个高速运算单元。同时包含对 81C90CAN 控制器和代码区的支持。3.3.7 C51V7 版增强功能介绍

34、 C51 V7 版提供了很多新的和增强的功能,使开发 8051 嵌入式应用比以前更加简单。C51 V7 版新功能包括:新版编译器和连接器更加优化,可以缩短程序的大小;能完全模拟支持的器件更多,如 Philips 80C51MX,Dallas 80C390 和 Analog Devices MicroConverters;新的 ISD51 系统内调试器,允许在不变的目标硬件上调试程序;可更好地支持 Philips 51MX 的 24 位地址;RTX51 Tiny 增加了新功能,它比以前更小,但提供的功能更多。若您需要更多的信息,敬请访问 http:/。3.4 在系统编程软件 SLISP 简介 S

35、LISP 是由广州天河双龙电子有限公司开发的MCU 在系统编程软件。支持的下载线有两种:双龙电子的串行通信下载线SL-AVRL 和并行通信下载线 AVR-ISP。支持的器件为 ATMEL 公司的 AT89S 系列(包含AT89S51/52)和 AVR 系列。支持的文件格式:INTEL 格式的 HEX 文件、EEP 文件,二进制 BIN 文件。支持缓冲区数据修改和保存,缓冲区中的数据可以保存为二进制BIN文件,INTEL 格式的 HEX 或 EEP 文件。当用户保存单独的 EEPROM 数据文件时,应当选择 EEP 文件。缓冲区中的数据还可以保存为 C 程序中的 char 或 unsigned

36、char 类型数组文件,以方便程序开发者处理某些特殊应用。软件通过串口和下载线相连接,支持 USB 转换成的串口通信,适合一些使用没有串口的 NOTE BOOK 的用户。word 文档 可自由复制编辑 下载线默认的波特率为 19200,用户可以向双龙公司订购波特率较高的下载线,以提高在系统编程的速度。增加了项目管理,在项目文件中可以保存您设置的所有的编程参数,如熔丝设置、FLASH 和 EEPROM 中的数据、以及 RC 校准、序列号设置等一些参数。缓冲区数据编辑窗口中可以显示校验和。在使用并行下载线编程时,编程速度是可以调节的,分 TURBO、FAST、NORMAL 和 SLOW 四档,请根

37、据自己系统的时钟频率进行选择。一般情况下可以参照表 3.4-1 进行选择 表 3-2 SL ISP 下的模式与时钟对照表 模式 时钟 TURBO 没有延时 FAST 大约 100KHZ NORMAL 大约 50KHZ SLOW 大约 5KHZ,适于 32.768KHZ 超低频系统 TURBO SLOW 大约 1KHZ,支持 16KHZ 以下的时钟频率 注意:1、部分 PC 机可能不支持 TURBO 模式,建议选择 FAST 以下的模式。2、选择 TURBO 模式可以获得最快的编程速度,但对系统的要求会高,如果出现进入不了编程模式或校验出错,请降低编程速度。word 文档 可自由复制编辑 第 4

38、 章 系统总体设计 4.1 元器件基本知识 4.1.1 单片机 AT89S51 1.主要特性 与 MCS-51 单片机产品兼容 4K 字节在系统可编程 Flash 存储器 1000 次擦写周期 全静态工作:0Hz33MHz 32 个可编程 I/O 口线 2 个 16 位定时器/计数器 6 个中断源 全双工 UART 串行通道 低功耗空闲和掉电模式 掉电后中断可唤醒 看门狗定时器 双数据指针 灵活的 ISP 编程(字或字节模式)4.0-5.5V 电压工作范围 2.内部结构 图 4.1.1-1 是单片机 AT89S51 的内部结构总框图。它可以划分为CPU、存储器、并行口、串行口、定时/计数器和中

39、断逻辑几个部分。CPU 由运算器和控制逻辑构成。其中包括若干特殊功能寄存器(SFR)AT89S51 时钟有两种方式产生,即内部方式和外部方式。word 文档 可自由复制编辑 AT89S51 在物理上有四个存储空间:片内/片外程序存储大路、片内/片外数据存储器。片内有256B数据存储器RAM和4KB的程序存储器ROM。除此之外,还可以在片外扩展 RAM 和 ROM,并且和有 64KB 的寻址范围。AT89S51 内部有一个可编程的、全双工的串行接口。它串行收发存储在特殊功能寄存器 SFR 的串行数据缓冲器 SBUF 中的数据。word 文档 可自由复制编辑 图 4-1 AT89S51 内部结构框

40、图 AT89S51 共有 4 个(P0、P1、P2、P3 口)8 位并行 I/O 端口,共 32个引脚。P0 口双向 I/O 口,用于分时传送低 8 位地址和 8 位数据信号;P1、P2、P3 口均为准双向 I/O 口;其中 P2 口还用于传送高 8 位地址信号;P3 口每一引脚还具有特殊功能(图 4.1.1-2),用于特殊信号的输入输出和控制信号。AT89S51 内部有两个 16 位可编程定时器/计数器 T0、T1。最大计数值为 216-1。工作方式和定时器或计数器的选择由指令来确定。word 文档 可自由复制编辑 图 4-2 AT89S51 的时钟电路 表 3.1 P3 口引脚的特殊功能

41、引脚号 第二功能 P3.0 RXD(串行输入)P3.1 TXD(串行输出)P3.2 INT0(外部中断)P3.3 INT1(外部中断)0 P3.4 T0(定时器 0 外部输入)P3.5 T1(定时器 1 外部输入)P3.6 WR(外部数据存储器写选通)P3.7 RD(外部数据存储器写选通)中断系统允许接受 5 个独立的中断源,即两个外部中断,两个定时器/计数器中断以及一个串行口中断。c.外部特性(引脚功能)AT89S51 芯片有 40 条引脚,双列直插式封装引脚图如 4.1.1-3 所示:Vcc(40):电源+5V Vss(20):接地 XTAL1(19)和 XTAL2(18):word 文档

42、 可自由复制编辑 图 4-3 AT89S51 引脚图 使用内部振荡电路时,用来接石英晶体和电容;使用外部时钟时,用来输入时钟脉冲。P0 口(3932):双向 I/O 口,既可作地址/数据总线口用,也可作普通 I/O 口用。P1 口(18):准双向通用 I/O 口。P2 口(2128):准双向口,既可作地址总线口输出地址高 8 位,也可作普通 I/O 口用。P3 口(1017):多用途口,既可作普通 I/O 口,也可按每位定义的第二功能操作。ALE/PROG(30):地址锁存信号输出端。在访问片外丰储器时,若ALE 为有效高电平,则 P0 口输出地址低 8 位,可以用 ALE 信号作外部地址锁存

43、信号。公式(21)fALE=1/6fOSC,也可作系统中其它芯片的时钟源。第二功能PROG 是对 EPROM 编程时的编程脉冲输入端。RST/VPD(9):复位信号输入端。AT89S51 接通电源后,在时钟电路作用下,该脚上出现两个机器周期以上的高电平,使内部复位。第二功能是 VPD,即备用电源输入端。当主电源 Vcc 发生故障,降低到低电平规定值时,VPD将为 RAM 提供备用电源,保证存储在 RAM 中的信号不丢失。word 文档 可自由复制编辑 EA/Vpp(31):内部和外部程序存储器选择线。EA=0 时访问外部 ROM 0000HFFFFH;EA=1 时,地址 0000H0FFFH

44、空间访问内部 ROM,地址1000HFFFFH 空间访问外部 ROM。PSEN(29):片外程序存储器选通信号,低电平有效 4.1.2 模数转换器 AD0809 1.主要特性 8 路 8 位 AD 转换器,即分辨率 8 位。具有转换起停控制端。转换时间为 100s 单个5V 电源供电 模拟输入电压范围 05V,不需零点和满刻度校准。工作温度范围为-4085 摄氏度低功耗,约 15mW。2.内部结构 ADC0809 是 CMOS 单片型逐次逼近式 AD 转换器,内部结构如图4.1.2-1 所示,它由 8 路模拟开关、地址锁存与译码器、比较器、8 位开关树型 DA 转换器、逐次逼近寄存器、三态输出

45、锁存器等其它一些电路组成。因此,ADC0809 可处理 8 路模拟量输入,且有三态输出能力,既可与各种微处理器相连,也可单独工作。输入输出与 TTL 兼容。word 文档 可自由复制编辑 图 4-3 ADC0809 内部结构框图 3.外部特性(引脚功能)ADC0809 芯片有 28 条引脚,采用双列直插式封装,如图 4.1.2-2 所示。下面说明各引脚功能。word 文档 可自由复制编辑 图 4-4 ADC0809 引脚图 IN0IN7:8 路模拟量输入端。2-12-8:8 位数字量输出端。ALE(22):地址锁存允许信号,输入,高电平有效。START(6):AD 转换启动信号,输入,高电平有

46、效。EOC(7):AD 转换结束信号,输出,当 AD 转换结束时,此端输出一个高电平(转换期间一直为低电平)。OE(9):数据输出允许信号,输入,高电平有效。当 AD 转换结束时,此端输入一个高电平,才能打开输出三态门,输出数字量。CLK(10):时钟脉冲输入端。典型值为 640KHZ。REF(+)、REF(-):参考电压输入端。Vcc(11):电源,5V。GND(13):地。ADDA、ADDB、ADDC:3 位地址输入线,用于选通 8 路模拟输入中的一路。表 4.1.2-3 所示。表 4.1.2-3 ADDA、ADDB、ADDC 模拟通道地址码 word 文档 可自由复制编辑 4.ADC08

47、09 的工作过程 首先输入 3 位地址,并使 ALE=1,将地址存入地址锁存器中。此地址经译码选通 8 路模拟输入之一到比较器。START 上升沿将逐次逼近寄存器复位。下降沿启动 AD 转换,之后 EOC 输出信号变低,指示转换正在进行。直到 AD 转换完成,EOC 变为高电平,指示 AD 转换结束,结果数据已存入锁存器,这个信号可用作中断申请。当 OE 输入高电平时,输出三态门打开,转换结果的数字量输出到数据总线上。4.1.3 温度传感器 AD590 1.主要特性 AD590 是美国模拟器件公司生产的单片集成两端感温电流源。它的主要特性如下:流过器件的电流(A)等于器件所处环境的热力学温度(

48、开尔文)度数,即:A/K (4.1.3-1)ADDC ADDB ADDA 输入通道 0 0 0 IN 0 0 1 IN 0 1 0 IN 0 1 1 IN 1 0 0 IN 1 0 1 IN 1 1 0 IN 1 1 1 IN word 文档 可自由复制编辑 01AD 590-+A.式中:流过器件(AD590)的电流,单位为 A;T热力学温度,单位为 K。AD590 的测温范围为-55+150。AD590 的电源电压范围为 4V30V。电源电压可在 4V6V 范围变化,电流 变化 1 A,相当于温度变化 1K。AD590 可以承受 44V 正向电压和 20V 反向电压,因而器件反接也不会被损坏

49、,输出电阻为 710M。AD590 共有 I、J、K、L、M 五档,其中 M 档精度最高,在-55+150范围内,非线性误差为0.3。AD590的输出电流值说明:其输出电流是以绝对温度零度(-273)为基准,每增加 1,它会增加 1A 输出电流,因此在室温 25时,其输出电流 Iout=(273+25)=298A。2.内部结构 集成温度传感器实质上是一种半导体集成电路,它是利用晶体管的 b-e 结压降的不饱和值VBE与热力学温度T和通过发射极电流I的下述关系实现对温度的检测:(4.1.3-2)式中:K波尔兹常数;q电子电荷绝对值。集成温度传感器具有线性好、精度适中、灵敏度高、体积小、使用方便等

50、优点,得到广泛应用。集成温度传感器的输出形式分为电压输出和电流输出两种。电压输出型的灵敏度一般为 10mV/K,温度 0时输出为 0,温度 25时输出 2.982V。电流输出型的灵敏度一般为 1 A/K。word 文档 可自由复制编辑 图 4-4 AD590 引脚图 3.外部特性(引脚功能)Vcc(0):电源 430v GND(1):接地。4.典型应用电路 AD590 产生的电流与绝对温度成正比,它可接收的工作电压为 4 V30V 检测的温度范围为55150,它有非常好的线性输 出性能,温度每增加 1,其电流增加 1uA 图 4.1.3-4 是 AD590 用于测量热力学温度的基本应用电路。因

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 包罗万象 > 大杂烩

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服