收藏 分销(赏)

VIVADO中debug用法.doc

上传人:精*** 文档编号:3149538 上传时间:2024-06-20 格式:DOC 页数:13 大小:1.69MB
下载 相关 举报
VIVADO中debug用法.doc_第1页
第1页 / 共13页
VIVADO中debug用法.doc_第2页
第2页 / 共13页
VIVADO中debug用法.doc_第3页
第3页 / 共13页
VIVADO中debug用法.doc_第4页
第4页 / 共13页
VIVADO中debug用法.doc_第5页
第5页 / 共13页
点击查看更多>>
资源描述

1、VIVADO中debug用法 VIVADO和ISE相比ChipScope已经大幅改变,很多人都不习惯。在ISE中称为ChipScope而VIVADO中就称为in system debug。下面就介绍VIVADO中如何使用debug工具。Debug分为3个阶段1. 探测信号:在设计中标志想要查看的信号2. 布局布线:给包含了debug IP的设计布局布线3. 分析:上板看信号一 探测信号 探测信号有2种方法一种是直接在HDL源代码中用(*mark_debug = “true”*)标识出要探测的信号 另一种是 在综合过后的网表文件中添加标志。1 .在HDL源代码中添加标志然后点击 open Syn

2、thesized Design然后点击Tools- Set Up Debug点击 Next点击 Add/Remove Nets点击find会出来所有信号。如果需要添加debug的信号,从左边框中选择所需信号,点击按钮加到右边来。如果需要去除不需要的debug信号,从右边框中选择所需信号,点击按钮就去除了。选好信号之后,在右下角点击Ok按钮。在此框中为所有debug信号选择时钟域,选择debug信号,右键选择Select Clock Domain。注意每一个时钟域对应一个单独的ILA 2.0core。在此框中选择所需时钟,点击ok点击next然后继续下面的Implement 流程点击Save保存

3、修改后的工程后面像以前一样等工程跑结束。2.在网表文件中添加标志网表文件添加标志,第一步也是打开综合后设计。如下图所示第二步是打开debug窗口Open synthesized Design之后,有2种方法来标志debug信号(1) 第一种方法是在Netlist窗口中选择信号,右键点击Mark Debug(2) 第二种方法是在Tools中选择Setup Debug 推荐使用此方法然后和前面一样继续跑工程。二 上板调试上板的时候选择Open hardware session ,然后Open a new hardware target选择next选择next选择Next选择FPGA来配置文件注意移到另一台电脑看debug信号时,必须将debug_nets.ltx 文件和bit文件一起移过去。如下图红框所示如果需要设置触发条件,选择Windows Debug Probes在Debug Probe窗口中选择需要设置的信号,然后设置触发条件。在Trigger Pos中可以设置抓取到触发信号跳变前N个时钟周期可以被抓到

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 考试专区 > 中考

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服