收藏 分销(赏)

于基fpga电子密码锁设计-学位论文.doc

上传人:胜**** 文档编号:2994948 上传时间:2024-06-12 格式:DOC 页数:43 大小:876.50KB
下载 相关 举报
于基fpga电子密码锁设计-学位论文.doc_第1页
第1页 / 共43页
于基fpga电子密码锁设计-学位论文.doc_第2页
第2页 / 共43页
于基fpga电子密码锁设计-学位论文.doc_第3页
第3页 / 共43页
于基fpga电子密码锁设计-学位论文.doc_第4页
第4页 / 共43页
于基fpga电子密码锁设计-学位论文.doc_第5页
第5页 / 共43页
点击查看更多>>
资源描述

1、 毕业设计论文毕业设计论文 基于基于 FPGA 电子密码锁设计电子密码锁设计 摘摘 要要 随着电子技术的发展,具有防盗报警等功能的电子密码锁代替密码量少、安全性差的机械式密码锁已是必然趋势。电子密码锁与普通机械锁相比,具有许多独特的优点:保密性好,防盗性强,可以不用钥匙,记住密码即可开锁等。目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件,其编码器与解码器的生成为软件方式。在实际应用中,由于程序容易跑飞,系统的可靠性能较差。本文主要阐述了一种基于现场可编程门阵列 FPGA 器件的电子密码锁的设计方法。用 FPGA 器件构造系统,所有算法完全由硬件电路来实现,使得系统的工作可靠性大

2、为提高。由于 FPGA 具有现场可编程功能,当设计需要更改时,只需更改 FPGA中的控制和接口电路,利用 EDA 工具将更新后的设计下载到 FPGA 中即可,无需更改外部电路的设计,大大提高了设计的效率。因此,采用 FPGA 开发的数字系统,不仅具有很高的工作可靠性,而且升级也极其方便。本文采用 EDA 技术,利用 Quartus II 工作平台和硬件描述语言,设计了一种电子密码锁,并通过一片 FPGA 芯片实现。关键词:关键词:电子密码锁;FPGA;硬件描述语言;EDA Abstract With the development of electronic technology,electr

3、onic password lock with burglar alarm and other functions replacing less password and poor security mechanical code lock is an inevitable pared electronic password lock with ordinary mechanical locks,it has many unique advantages:confidentiality,and security in nature,do not use the key,remember pas

4、sword can unlock it etc.Most electronic password locks we used now is based upon SCM technology,SCM is its mainly device,and the creating of encoding and decoding devices is the fashion of Software mode.In practical application,the reliability of the system may be worse because of easy running fly o

5、f the programme.This paper mainly expatiates a design method of electronic password lock based upon Field Programmable Gate Array device.We use FPGA devices to construct system,all of the algorithm entirely achieved by the hardware circuit,because of FPGA has the function of ISP,when the design need

6、s to be changed We only need to change the control and interface circuit of FPGA,EDA tools are used to download the updated design to FPGA without changing the design of the external circuit,this greatly enhance the efficiency of the design.Therefore,we use FPGA to empolder the digital system has no

7、t only high reliability but also extremely convenient of upgrading and improvement.In this paper,we use EDA technology,Quartus II platform and hardware description language designing an electronic password lock,and it achieved through an FPGA chip.Key words:electronic password lock;FPGA;hardware des

8、cription language;EDA I 目目 录录 1 绪论(标题部分的格式很多不对,检查修改).1 1.1 本课题研究的国内外现状及其发展.2 1.2 本课题研究的目的和意义.2 2 关键技术简介.4 2.1 FPGA 硬件设计描述.4 2.1.1 FPGA 的设计流程.4 2.1.2 VHDL 语言的基本结构.6 2.1.3 自上而下(TOP DOWN)的设计方法.7 2.2 设计语言、仿真平台与开发系统.8 2.3 用 Quartus进行系统开发的设计流程.8 3 系统总体设计.9 3.1 电子密码锁设计的原理.9 3.2 方案的提出.10 3.3 系统设计要求.11 3.4 系

9、统设计描述.11 3.5 各功能模块描述.12 3.6 系统流程.13 4 系统详细设计.15 4.1 输入模块.15 4.1.1 时序产生电路.16 4.1.2 按键消抖电路.17 4.1.3 键盘扫描电路.20 4.1.4 键盘译码电路.21 4.1.5 键盘输入模块的实现.22 4.2 电子密码锁控制模块.23 4.2.1 控制模块的描述.23 4.2.2 控制模块的状态图与 ASM 图.错误错误!未定义书签。未定义书签。4.2.3 控制模块的实现.27 4.3 电子密码锁显示模块.28 II 4.3.1 数码管显示原理.28 4.3.2 译码显示的实现.31 5 系统仿真.32 5.1

10、 系统的有关编译与仿真.32 5.2 去抖模块的仿真.32 5.3 密码锁输入电路的仿真.33 5.4 密码锁控制电路的仿真.34 5.5 系统整体仿真.35 5.6 数码管译码器仿真.36 6.结束语.37 致谢.38 参考文献.39 基于 FPGA 的电子密码锁设计-1-1 绪论绪论 随着大规模和超大规模可编程器件在 FPGA 技术支持下的广泛应用,使现代化 设计计算已进入一个全新的阶段,从设计思想、设计工具一直到实现方式都发生了诸多变化。在 FPGA 技术中,最为引人瞩目的是以现代电子技术为特征的逻辑设计仿真测试技术。改技术只需通过计算机就能对所设计的电子系统从不同层次的性能特点上进行一

11、些列准确测试和仿真;在完成实际系统的设计后,还能对系统上的目标器件进行边界扫描测试。随着技术的发展,科技的日趋夜新电子密码锁种类比较多,发展更是快。电子密码锁系统主要由电子锁体、电子密匙等部分组成,一把电子密匙里能存放多组开锁密码,用户在使用过程中能够随时修改开锁密码,更新或配制钥匙里开锁密码。一把电子锁可配制多把钥匙。下面就是现在主流电子密码锁。遥控式电子防盗锁目前常见的遥控式电子防盗锁主要有光遥控和无线电遥控两类。键盘式电子密码锁从目前的技术水平和市场认可程度看,使用最为广泛的是键盘式电子密码锁,该产品主要应用于保险箱、保险柜和金库,还有一部分应用于保管箱和运钞车。卡式电子防盗锁使用各种“

12、卡”作为钥匙的电子防盗锁是当前最为活跃的产品,无论卡的种类如何多种多样,按照输入卡的操作方式,都可分为接触式卡和非接触式卡两大类。生物特征防盗锁人的某些与生俱来的个性特征(如手、眼睛、声音的特征)几乎不可重复,作为“钥匙”就是唯一的(除非被逼迫或伤害),因此,利用生物特征做密码的电子防盗锁,也特别适合金融业注重“验明正身”的行业特点。本文介绍的基于 FPGA 的电子密码锁电路具有显示接口,显示时可以是明文也可以是密文星号。由于 FPGA 具有 ISP 功能,当用户需要更改时,如增加口令位数和更改口令权限管理时,只需更改 FPGA 中的控制和接口电路,利用 EDA 工具将更新后的设计下载到 FP

13、GA 中即可,无需更改外部电路,这就大大提高了设计效率。而且采用 FPGA 设计的电子密码锁与单片机控制的电子密码锁相比结构简单、具有更高的系统保密性和可靠性。这种基于 FPGA 的电子密码锁可以应用在办公室、仓库、宾馆等人员经常变动的场所。FPGA 技术是现代电子工程领域的一门新技术,提供了基于计算机和信息技术的电路系统设计的方法。它是从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)等技术发展而来的。设计者只需要对系统功能进行描述,在 FPGA 工具的帮助下即可完成系统设计,从而为电子产品的设计和开发缩短了实践降低了成本,提高了系统的可

14、靠性。基于 FPGA 的电子密码锁设计-2-1.11.1 本课题研究的国内外现状及其发展本课题研究的国内外现状及其发展 随着人们生活水平的提高和安全意识的加强,对安全的要求也就越来越高。锁自古以来就是把守护门的铁将军,人们对它要求甚高,既要安全可靠的防盗,又要使用方便,这也是制锁者长期以来研制的主题。随着电子技术的发展,各类电子产品应运而生,电子密码锁就是其中之一。据有关资料介绍,电子密码锁的研究从 20 世纪 30年代就开始了,在一些特殊场所早就有所应用。这种锁是通过键盘输入一组密码完成开锁过程。研究这种锁的初衷,就是为提高锁的安全性。由于电子锁的密钥量(密码量)极大,可以与机械锁配合使用,

15、并且可以避免因钥匙被仿制而留下安全隐患。电子锁只需记住一组密码,无需携带金属钥匙,免除了人们携带金属钥匙的烦恼,而被越来越多的人所欣赏。电子锁的种类繁多,例如数码锁,指纹锁,磁卡锁,IC 卡锁,生物锁等。但较实用的还是按键式电子密码锁。20 世纪 80 年代后,随着电子锁专用集成电路的出现,电子锁的体积缩小,可靠性提高,成本较高,是适合使用在安全性要求较高的场合,且需要有电源提供能量,使用还局限在一定范围,难以普及,所以对它的研究一直没有明显进展。目前,在西方发达国家,电子密码锁技术相对先进,种类齐全,电子密码锁已被广泛应用于智能门禁系统中,通过多种更加安全,更加可靠的技术实现大门的管理。在我

16、国电子锁整体水平尚处于国际上 70 年代左右,电子密码锁的成本还很高,市场上仍以按键电子锁为主,按键式和卡片钥匙式电子锁已引进国际先进水平,现国内有几个厂生产供应市场。但国内自行研制开发的电子锁,其市场结构尚未形成,应用还不广泛。国内的不少企业也引进了世界上先进的技术,发展前景非常可观。希望通过不断的努力,使电子密码锁在我国也能得到广泛应用。目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件,其编码器与解码器的生成为软件方式。在实际应用中,由于程序容易跑飞,系统的可靠性能较差。基于现场可编程逻辑门阵列 FPGA 器件的电子密码锁,用 FPGA 器件构造系统,所有算法完全由硬件电路来

17、实现,使得系统的工作可靠性大为提高。由于 FPGA具有现场可编程功能,当设计需要更改时,只需更改 FPGA 中的控制和接口电路,利用 EDA 工具将更新后的设计下载到 FPGA 中即可,无需更改外部电路的设计,大大提高了设计的效率。1.2 本课题研究的目的和意义本课题研究的目的和意义 为了使现在的电子密码锁更能智能化的管理,让人们更能方便的使用,让其具基于 FPGA 的电子密码锁设计-3-有更高的安全性和经济性,针对基于单片机的电子密码锁的不足之处,本文采用EDA 技术,利用 Quartus工作平台硬件描述语言,设计一种电子密码锁,并通过一片 FPGA 芯片实现。采用 VHDL 语言使用自顶向

18、下的方法对系统进行了描述,并在FPGA芯片Cyclone上实现。设计充分利用了FPGA的资源可编程特性,可高效率的对系统进行升级与改进。设计的密码锁可设置任意密码,比一般的四位密码锁具有更高的安全可靠性,因此,采用 FPGA 开发的数字系统,不仅具有很高的工作可靠性,其升级与改进也极其方便,应用前景十分良好。基于 FPGA 的电子密码锁设计-4-2 关键技术简介关键技术简介 2.1 FPGAFPGA 硬件设计硬件设计描述描述 2.1.1 FPGAFPGA 的设计流程的设计流程 FPGA 设计流程包括系统设计和设计实现,系统方案完成之后即进入设计实现阶段的工作,他以系统方案为输入,进行 RTL

19、级描述、功能仿真(RTL 级仿真)、逻辑综合、布线前门级仿真、适配(布局布线)、时序仿真(布线后门级仿真)、时序分析、器件编程、系统验证一系列流程的处理才能完成 FPGA 芯片的设计,其设计流程如图2.1 所示。需要说明的是,如果仿真验证不对或者某一步有错,就要返回修改。有必要检查和修改的地方有 RTL 级描述、系统方案、约束和测试激励等。一般情况下,对 RTL 级的描述即原理图或者 HDL 设计代码的修改最多也最有效。修改后要重新走一遍流程。有时要反复修改,经过多次这样的迭代才能完成最后的设计。在理论上,把 VLSI(Ultra Large Scale Integration,超大规模集成电

20、路)的设计描述为 6 个层次1,2,即系统级(系统功能、参数定义)、算法级(描述系统功能行为)、RTL级、门级(逻辑门)、电路级(晶体管)、版图级(物理工艺)。每一级又都分 3 个侧面来描述:行为域描述、结构域描述、物理域描述。但在实际情况中往往把算法级行为域描述或者 RTL 级行为域描述都称为行为级描述。基于 FPGA 的电子密码锁设计-5-图图 2.1 系统设计流程系统设计流程(左边的箭头线太短了,最好和右边对称)(左边的箭头线太短了,最好和右边对称)对于 FPGA 的设计而言,不需要关心电路级和版图级,只考虑系统级、算法级、RTL 级、门级 4 个层次的行为域描述和结构域描述即可。上述的

21、 FPGA 系统设计中的系统实际上是指系统级和算法级,而“RTL 级描述”主要是指 RTL 级行为域的描述。在门级,由综合工具产生的门级网表来描述。FPGA 的设计流程和相关概念说明如下:库:指 FPGA 器件厂家提供的工艺库和 EDA 工具提供的标准通用库(如 IEEE 库等)。工艺库中有各种宏功能模块和基本功能单元,含有他们的行为级模型、门级模型、布线模型等信息。需要说明的是,系统行为仿真和 RTL 级功能仿真有时要用到某种功能模块,例如 RAM 模型。对于 RAM 模型的控制信号,不同的厂家其规定不一定相同,如写使能信号,有的厂家规定高电平有效,有的厂家规定低电平有效。其实,在厂家提供的

22、工艺库中,RAM 模型有行为级模型、门级模型、版图级模型等。而行为级模型只是规定其功能,无延时信息,跟工艺无关,但门级模型和版图级模型跟工艺密切相关。解决的方法是系统行为仿真时可以使用高级语言自己建立一个模型或者调用厂家库中提供的行为级模型,功能仿真时调用行为级模型,时序仿真时调用门级模型。基于 FPGA 的电子密码锁设计-6-测试激励:指测试文件,他调用 FPGA 设计的顶层模块,同时产生顶层模块需要的输入信号,称之为激励信号,使用行为描述即可,不要求可综合。仿真时他作为最顶层的文件,从而可以观察 FPGA 的输出是否正确。所有的仿真都可使用同一个测试激励。约束:指对逻辑综合和布局布线时的约

23、束。包括器件型号、速度、面积、功耗、引脚分配、时钟网络资源的分配、模块在器件中的定位等约束。一部分在软件中设置,一部分以约束文件的形式存在。2.1.2 VHDLVHDL 语言的基本结构语言的基本结构 VHDL(Very high speed integrated circuit Hardware Description Language)硬件描述语言从高于逻辑级的抽象层次上描述硬件的功能、信号连接关系及定时关系。VHDL 的设计流程如 2.2 图。总体方案编写HDL代码软件模拟综合:面向FPGA和ASIC网表硬件验证 图图 2.2 VHDL 的设计流程的设计流程 一个完整的 VHDL 语言程序

24、通常包含实体(Entity)、结构体(Architecture)、配置(Configuration)、包集合(Package)和库(Library)五个部分。1、实体 实体说明部分是说明一个器件的外观视图,即从器件外部看到的器件外貌,其中包括器件的端口,同时也可以定义参数,并把参数从外部传入模块内部,主要用于描述所设计的系统的外部接口。2、结构体 结构体是描述一个器件的内部视图,是次级设计单元。在其对应的初级设计单元实体说明被编译并且被并入设计库之后,它就可以单独地被并入该设计库中。结构体描述一个设计的结构和行为,把一个设计的输入和输出之间的关系建立起来。一个设计可以有多个结构,分别代表该器件

25、的不同实现方案。根据对一个器件设计由抽象到具体的过程,可把结构体的描述方式分为三个层次:行为描述方式、寄存器传输描述方式(RTL)和结构描述方式。基于 FPGA 的电子密码锁设计-7-3、库 库是经编译后的实体、结构体、包集合和配置的集合。使用库时总要在设计单元的前面予以说明。一旦说明,库中的数据对该设计单元就是可见的,从而共享已经编译过的设计结果。VHDL 语言中存在的库大致有 IEEE 库、STD 库、ASIC 厂家提供的库、用户定义的库和现行作业库。4、包集合 包集合属库中的一个层次,是一种可编译的源设计单元。它收集了 VHDL 语言中所用到的信号、常数、数据类型、函数和过程的说明等。用

26、户可以构造一个包集合,用以存放常数、数据类型、函数和过程,该包集合经编译后便自动加到 WORK 库中。使用库中的包集合时,在打开库后要用 USE 语句说明,例如:LIBRARY IEEE;USE IEEE.STD-LOGIC-1164.ALL;5、配置 配置语句从一个库中为一个实体选择一个特定的结构体,是一种放在库中的被编辑单元,并有相应的配置名。通过配置技术,可以选取多种不同的结构体,以便对一个设计任务采用仿真工具进行多种配置的性能实验。另外,配置说明和规定的特性还可以用在多层描述中。2.1.3 自上而下(自上而下(TOP DOWNTOP DOWN)的设计方法)的设计方法 自上而下的设计方法

27、是现代电子系统的新型设计策略,它从设计的总体要求出发,自上而下地逐步从系统数学模型的设计与仿真,到数据流级的设计与仿真,选择系统设计方案,最后完成系统硬件的整体设计。VHDL 的自上而下的设计方法不仅体现在它的基本结构由描述外视特性的实体与描述内视行为和结构的结构体构成,同一实体可以有一个以上的结构体,以便设计方案的选择,还体现在系统硬件设计过程的三个层次:行为级描述与仿真、RTL 级描述与仿真、逻辑综合与门级仿真。逻辑综合与所使用的逻辑综合工具有关,由逻辑综合优化工具生成具体的门级逻辑电路的EDIF(Electronic Design Interchange Format)网表。EDIF 网

28、表是一种标准接口,它是一个以 ASCII 字符为基础的中间互换格式,被大多数供应商提供的 CAE/CAD 系统所支持。半导体制造厂基于这种网表生成 ASIC 芯片的制造工艺,FPGA 则基于这种网表生成用以配置 FPGA 芯片的位流文件。这三种仿真贯穿系统硬件设计的全过程,从而可以在系统设计早期发现设计中存在的问题,与传统的自下而上的后期仿真相比大大缩短系统的设计周期,并且利于方案的综合评价与选取。这是用 VHDL 语言设计基于 FPGA 的电子密码锁设计-8-系统硬件的最突出的优点。2.2 设计语言、仿真平台与开发系统设计语言、仿真平台与开发系统 (1)硬件描述语言 电子密码锁的设计采用了功

29、能强大的通用硬件描述语言 VHDL,它具有很强的行为描述能力,设计方法灵活,可以支持自顶向下(Top Down)和基于库(Library-Based)的设计方法,硬件描述与具体的工艺技术和硬件结构无关,能轻易地改变设计的规模和结构,标准、规范易于共享与复用,易于向 ASIC 移植。(2)Quartus软件开发工具 本设计采用的软件开发工具是美国 Altera 公司的 Quartus,它支持多种设计输入方法,包括原理图输入、文本输入(如 AHDL,VHDL,Verilog HDL 文本文件)和第三方 EDA 工具输入文件(如 EDIF,HDL,VQM 文件),利用该工具所配备的编辑、编译、仿真综

30、合、芯片编程等功能,可将设计电路图或电路描述程序变成基本的逻辑单元写入到可编程的芯片中(如 FPGA 芯片),做成 ASIC 芯片。(3)EDA 实验开发系统 设计中采用的 EDA 实验开发系统,主要用于提供可编程逻辑器件的下载电路及EDA 实验开发的外围资源,供硬件验证用。一般包括:实验或开发所需的各类基本信号发生模块;FPGA/CPLD 输出信息显示模块;监控程序模块;目标芯片适配座以及上面的 FPGA/CPLD 目标芯片和下载电路。2.3 用用 QuartusQuartus进行系统开发的设计流程进行系统开发的设计流程 使用 Quartus进行电子密码锁设计的流程为(1)编写 VHDL 程

31、序(使用 VHDL File)(见附录);(2)编译 VHDL 程序(使用 Compiler);(3)仿真验证 VHDL 程序(使用 Waveform Editor,Simulator);(4)进行芯片的时序分析(使用 Timing Analyzer);(5)安排芯片管脚位置(使用 Floorplan Editor);(6)下载程序至芯片(使用 Programmer)。基于 FPGA 的电子密码锁设计-9-3 系统系统总体总体设计设计 3.1 电子密码锁设计的原理电子密码锁设计的原理 1、编码总量的确定 电子密码锁随机开锁成功的概率定义为:P=1/NT 其中 P 为随机开锁成功概率,NT 为密

32、码编码总量。显然要使密码锁保密安全性高,NT 应尽可能大,使 P 趋于零,但 NT 越大,相应电路越复杂,密码的记忆与操作也越麻烦。故 NT 应有合理的上限和下限。下限 NTL 的选择应使密码落在随机开锁可能成功的操作时区以外。若每一次开锁操作时间为 t,为便于做随机试验,将 NT 分为 n 段并期望在 1/n 段的 1/2 处开锁成功。此时 p=1/2n 则随机开锁试验期望成功的时间为:Tr=NT t/2n 假定系统设计不考虑误码输入的保护,密码锁在无保护的情况下使操作人员任意作随机开锁试验的时间为 TEN,则:NTL=(2n TEN t)x,其中 x 为最低安全系数。即:TEN=(NTL

33、t/2n)/x 显然若使 NT=NTL,则 TrTEN,则使分段随机试验不易成功。由此可得出数字密码锁的编码总量设定是系统设计安全性、保密性的首要技术指标。一般来说,当 NT 选定之后,NT 的上限 NTH 原则上是越大越安全,但一般设计时取 NTH=(101000)NTL 较为合理。2、编码制式的选择 编码制式应根据 NT 的大小选取,可分为如下三种:(1)密码的各位都可以重码:NT1=ai;(2)密码的非相邻位可以重码:NT2=a(a-1)i;(3)密码的任何一位都不能重码:NT3=a(a-1)(a-i+1)。其中 a 为基数,i 为位数,a 和 i 的选取应该满足 NTNTL,a=2,3

34、,4,10,基于 FPGA 的电子密码锁设计-10-12,14,16。现在以最常用的 a=10,i=6 为例,可以计算出 NT2=0159NT 1,NT 3=0.15N T1,所以编码制式考虑是否重码对 NT 有很大影响。另外,相同制式下不同的基底对编码总量 NT 会有影响,而且基底的选择也会影响到硬件电路的设计。本文设计的密码锁采用十进制编码,密码各位允许重码,为简化电路设计,密码锁口令采用对串行脉冲计数的方式输入。3、误码输入的保护措施 如前所述,电子密码锁的设计应考虑自身的安全保密性,由于编码和捕捉密码的实验都是随机的,若要使 P=1 NT 趋近于 0,必须采取误码输入的保护措施。假定设

35、定的误码输入次数不超过三次,误码达到三次时系统应关闭主控电路,拒绝大于三次的密码输入,并且系统进入报警状态。系统正常状态的恢复可采用万能密码输入或者系统掉电恢复。3.2 方案的提出方案的提出 方案一:采用数字电路控制。虽然采用数字密码锁电路的好处是设计简单,但是由于其是纯电路实际,在系统运行时,延时会比较严重。方案二:通过单片机实现,现在一种新的方案就是采用一种是用以 AT89S51为核心的单片机控制方案。虽然有灵活的设计和丰富的 IO 端口,但是单片机设计的缺点是程序运行时容易出现跑飞现象。通过以上比较显然单片机方案有较大的活动空间,不但能实现所要求的功能而且能在很大的程度上扩展功能,而且还

36、可以方便的对系统进行升级,但是由于所学知识不能将其有效的应用,现有环境不能满足,而且单片机的密码锁有一定的不足之处,在运行时程序有时会产生 PC 指针错误,还有基于现在所学知识的应用,所以基于以上比较提出了第三种方案。方案三:利用 FPGA 设计电子密码锁,其成本低,设计方便(有相应的开发板),现有资源充足,实现比较容易,更利于系统的维护改进和升级,可靠性更高,更安全。通过以上比较描述,本设计采用基于 FPGA 的电子密码锁设计方案。基于 FPGA 的电子密码锁设计-11-3.3 系统设计要求系统设计要求 设计一个具有较高安全性和较低成本的通用电子密码锁,具体功能要求如下:(1)数码输入:每按

37、下一个数字键,就输入一个数值,并在显示器上的显示出 该数值,同时将先前输入的数据依序左移一个数字位置。(2)数码清除:按下此键可清除前面所有的输入值,清除为“0000”。(3)密码更改:按下此键时会将目前的数字设定成新的密码。(4)激活电锁:按下此键可将密码锁上锁。(5)解除电锁:按下此键会检查输入的密码是否正确,密码正确即开锁。3.4 系统系统设计描述设计描述 本系统为一个简洁型的电子密码锁,该系统以开发板为主要核心,采用 4*4 的键盘,前 10 个键为数字键,8 个数码管显示密码输入,后面的按键实现电子密码锁的各个功能,总体外观如图 3.1 所示。FPGA控制模块1234567890*#

38、确定密码清除上锁解锁扬声器 图图 3.1 电子密码电子密码锁锁外观外观图图 上图为在实验开发板上实现,使用开发板有十六个按键,分别对应数字 0 到 9和各个功能键,LED 显示为开发板上数码管显示。基于 FPGA 的电子密码锁设计-12-3.5 设计思路及设计思路及各功能模块描述各功能模块描述 电子密码锁可以由三个部分组成:数字密码输入电路、密码锁控制电路、密码锁显示电路。作为密码锁的输入部分,可选择的方案有数字机械式键盘和触摸式数字键盘等多种。考虑种种因素主要是成本和使用寿命因素,本设计采用通用的数字机械式键盘。数字电子密码锁的显示信息电路可采用 LED 数码管显示和液晶屏幕显示两种。液晶显

39、示具有高速显示、高可靠性、易于扩展和升级等优点,但是普通液晶显示屏存在亮度低、对复杂环境的适应能力差等缺点,在低亮度的环境下还需要加入其它辅助的照明设备,驱动电路设计相对复杂,因此本设计的显示电路使用通用的 LED 数码管。根据以上选定的输入设备和器件,并考虑到实现各项数字密码锁功能的具体要求。本设计分为三个大的功能模块。图 3.2 为数字电子密码锁系统总体框图。键盘扫描电路电子密码锁输入模块键盘按键消抖电路时序产生电路键盘译码电路扫描信号按键输入密码锁控制电路开/关门锁电路寄存器清楚信号发生电路数值比较电路按键数据缓存器报警器电路BCD七段译码电路显示电路七段数码管 图图 3.2 数字电子密

40、码功能模块图数字电子密码功能模块图(1)密码锁输入电路包括时序产生电路、键盘扫描电路、键盘弹跳消除电路、基于 FPGA 的电子密码锁设计-13-键盘译码电路等几个小的功能电路。模块的功能是:将用户通过键盘输入的数字密码,确认密码是否输入,产生电路中使用的三种不同频率的工作脉冲波形,即系统时钟脉冲、弹跳消除取样信号和键盘扫描信号;为了加强按键按下的准确性加了去抖模块。作为电子密码锁的输入电路,数字密码输入电路可采用一个 4 4 的通用开发板上的键盘作为本设计的输入设备。开发板键盘具有低成本、可靠性高、构成电路简单、技术成熟和应用广泛等特点,因此将其应用到通用电子密码锁中还是比较适宜的。(2)密码

41、锁控制电路包括按键数据的缓冲存储电路,密码的清除、变更、存储、激活电锁电路(寄存器清除信号发生电路),密码核对(数值比较电路),解锁电路(开/关门锁电路)等几个小的功能电路。模块功能:用于密码的更改,密码的清除,以及对密码锁的工作状态更改,对输入密码次数的计数功能,还有就是更智能化,更符合人们的思维,按键的时候都是习惯看输入数字的移位情况。(3)密码显示电路主要将显示数据的 BCD 码转换成相对应的编码。如,若选用七段数码管显示电路,主要将待显示数据的 BCD 码转换成数码器的七段显示驱动编码。从图 3.2 系统的总体走向图可知:通过时序产生键盘扫描信号,由键盘输入密码或者功能按键,当然在这过

42、程中要经过按键去抖,最后将将所输入的键盘值译码得到具体的数字或者功能键;将输入值输入键盘数据存储器,在这里面可以将键盘值存储或者清除,再经过比较电路,比较输入值与原来存储的是否一致,如果一致则开启锁,如果不一致则报警(在报警后可以通过输入万能密码或者断电复位等可以解决);在经过存储电路时,同时将所输入的值通过 LED 显示出来,显示将通过 BCD 译码电路,将所获取的值显示在 LED 数码管上。3.6 系统流程系统流程 系统流程图如图 4.1:(不能光有一个图,必须配有对图的文字说明,把系统的流程说清楚)基于 FPGA 的电子密码锁设计-14-开始系统复位,初始化键盘输入判断为密码输入还是功能

43、输入密码输入功能输入输入判断密码是否正确解锁显示输入判断是什么功能执行功能是功能输入是密码输入是与相应的功能键值相等报警否不与相应的功能键值相等什么都不是 图图 3.3 系统流程图系统流程图 基于 FPGA 的电子密码锁设计-15-4 系统详细设计系统详细设计 4.1 输入模块输入模块 图 4.1 是电子密码锁的输入电路框图,由键盘扫描电路、弹跳消除电路、键盘译码电路、按键数据缓存器,加上外接的一个 3 4 矩阵式键盘组成。图图 4.1 电子密码锁的输入电路框图电子密码锁的输入电路框图 矩阵式键盘是一种常见的输入装置,在日常的生活中,矩阵式键盘在计算机、电话、手机、微波炉等各式电子产品上已经被

44、广泛应用。图 2.3 是一个 3 4 矩阵式键盘的面板配置图,其中数字 09 作为密码数字输入按键,*作为“上锁”功能按键,#作为“解锁/清除”功能按键。图图 4.2 3 4 矩阵式键盘的面板配矩阵式键盘的面板配 表表 4.1 行扫描信号、列按键输入信号与按键位置的关系行扫描信号、列按键输入信号与按键位置的关系 KY311111111110110110101101101011011011弹跳消除电 路键盘译码电 路按键数据缓存器123456789*0#键盘扫描电路工作时钟脉冲信号键盘输入按键数据键盘扫描信号123456789*0#键盘扫描信号KY3(00)1110KY2(01)1101KY1(

45、10)1011KY0(11)0111KX2KX1KX0经提升电阻至VCC基于 FPGA 的电子密码锁设计-16-KY0 10 0 0 1 1 1 1 1 1 1 1 1 KX2KX0 011 101 110 011 101 110 011 101 110 011 101 110 按键号 1 2 3 4 5 6 7 8 9*0#4.1.1 时序产生电路时序产生电路 本时序产生电路中使用了三种不同频率的工作脉冲波形:系统时钟脉冲(它是系统内部所有时钟脉冲的源头,且其频率最高)、弹跳消除取样信号、键盘扫描信号。当一个系统中需使用多种操作频率的脉冲波形时,最方便的方法之一就是利用一计数器来产生各种需要

46、的频率。也就是先建立一个 N 位计数器,N 的大小根据电路的需求决定,N 的值越大,电路可以分频的次数就越多,这样就可以获得更大的频率变化,以便提供多种不同频率的时钟信号。若输入时钟为 CLK,N 位计数器的输出为 QN-1.0,则 Q(0)为 CLK 的 2 分频脉冲信号,Q(1)为 CLK 的 4 分频脉冲信号,Q(2)为CLK的8分频脉冲信号Q(N-1)为CLK的2N分频脉冲信号;Q(5 DOWNTO 4)取得的是一个脉冲波形序列,其值依 000110110001 周期性变化,其变化频率为 CLK 的 32 分频。我们利用以上规律即可得到各种我们所需要频率的信号或信号序列。CLK_1K:

47、IN STD_LOGIC;-系统原始时钟脉冲(1 kHz)KEY_IN:IN STD_LOGIC_VECTOR(2 DOWNTO 0);-按键输入 CLK_SCAN:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);-(仿真时用)键盘扫描序列 DATA_N:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);-数字输出 DATA_F:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);-功能输出 FLAG_N:OUT STD_LOGIC;-数字输出标志 FLAG_F:OUT STD_LOGIC;-功能输出标志 CLK_CTR:OUT STD_LOGI

48、C;-控制电路工作时钟信号 CLK_DEBOUNCE:OUT STD_LOGIC -(仿真时用)去抖电路工作时钟信号 基于 FPGA 的电子密码锁设计-17-4.1.2 按键消抖电路按键消抖电路 由于设计采用的矩阵式键盘是机械开关结构,因此在开关切换的瞬间会在接触点出现信号来回弹跳的现象,对于电子密码锁这种灵敏度较高的电路这种弹跳将很可能会造成误动作输入,从而影响到密码锁操作的正确性。从图 4.2 中可以观察出弹跳现象产生的原因,虽然每次只是按下按键一次然后放掉,然而实际产生的按键信号却不知跳动一次,经过取样信号的检查后,将会造成误判断,以为键盘按了两次。如果调整抽样频率(如图 4.3 所示)

49、,可以发现弹跳现象获得了改善。图图 4.2 弹跳现象产生错误的抽样结果弹跳现象产生错误的抽样结果 如果调整抽样频率,弹跳现象就可以获得改善。图图 4.3 调整抽样频率后得到的抽样结果调整抽样频率后得到的抽样结果 因此必须加上弹跳消除电路,避免误操作信号的发生。特别要注意的是,弹跳消除电路所使用的脉冲信号的频率必须比其他电路使用的脉冲信号的频率更高;通常将扫描电路的工作频率定在 100KHz 左右,而将弹跳消除电路的工作频率定在 200KHZ左右,其工作频率通常是前者的 2 倍或者更高。弹跳消除电路的实现原理如图 4.4 所示,先将键盘的输入信号 D_IN 做为电路的输入信号,CLK 是电路的时

50、钟脉冲信号,也就是取样信号,D_IN 经过两级 D 触发器延时后再使用 RS 触发器处理。PRNCLRNDQPRNCLRNDQDFFVCC13D_INCLKD0DFF1011D18NOTNOT97AND26AND2D_OUTSRQQ基于 FPGA 的电子密码锁设计-18-图 4.4 弹跳消除电路的内部实现原理图 此处 RS 触发器的前端连接和非门的处理原则是:(1)因为一般人的按键速度至多是 10 次/秒,亦即一次按键时间是 100 ms,所以按下的时间可估算为 50 ms。以取样信号 CLK 的周期为 8 ms 计,则可以取样到 6 次。(2)对于不稳定的噪声,在 4 ms 以下则至多抽样一

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 学术论文 > 毕业论文/毕业设计

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服