收藏 分销(赏)

学士学位论文—-数字滤波器iir的仿真与实现.doc

上传人:可**** 文档编号:2862453 上传时间:2024-06-07 格式:DOC 页数:40 大小:2.23MB
下载 相关 举报
学士学位论文—-数字滤波器iir的仿真与实现.doc_第1页
第1页 / 共40页
学士学位论文—-数字滤波器iir的仿真与实现.doc_第2页
第2页 / 共40页
学士学位论文—-数字滤波器iir的仿真与实现.doc_第3页
第3页 / 共40页
学士学位论文—-数字滤波器iir的仿真与实现.doc_第4页
第4页 / 共40页
学士学位论文—-数字滤波器iir的仿真与实现.doc_第5页
第5页 / 共40页
点击查看更多>>
资源描述

1、目录引言11 系统方案设计31.1方案设计31.2方案选择41.3系统设计流程42 滤波器原理介绍52.1滤波器原理52.2滤波器的结构53 软件设计63.1 IIR数字滤波器的MATLAB实现63.1.1输入信号的形成63.1.2滤波器系数的产生63.2直接型结构IIR滤波器的VHDL实现73.2.1零点系数的FPGA实现83.2.2极点系数的FPGA实现83.2.3顶层文件的设计84 硬件设计94.1 FPGA介绍94.2硬件平台搭建114.3硬件测试环境115 系统测试135.1软件仿真135.1.1 MATLAB仿真结果135.1.2 Quartus仿真结果135.2硬件测试156.结

2、束语16致谢17参考文献18附录A 外文文献19附录B 中文翻译23附录C 源程序27附录D 实物图34 题 目 数字滤波器IIR的仿真与实现 学生姓名 彭亚楠 学号 1213024045 所在学院 物理与电信工程学院 专业班级 通信工程1202班 指导教师 魏瑞 完成地点 物理与电信工程学院实验室 2016 年 6月 5 日毕业论文设计任务书 院(系) 物理与电信工程学院 专业班级 通信1202 学生姓名 彭亚楠 1 一、毕业论文设计题目 数字滤波器IIR的仿真与实现 二、毕业论文设计工作自 2016 年 1 月 10 日 起至 2016 年 6 月 15 日止三、毕业论文设计进行地点: 物

3、理与电信工程学院南区实验室 四、毕业论文设计的内容要求: 数字滤波技术是数字信号分析、处理技术的重要分支。无论是信号的获取、传输,还是信号的处理和交换都离不开滤波技术,它对信号安全可靠和有效灵活地传输时至关重要的。在所有的电子系统中,数字滤波器的优劣直接决定产品的优劣。 本次毕业设计要求: 1.掌握数字滤波器的设计原理; 2.利用仿真软件设计数字滤波器,并硬件实现; 3.实现对混合信号的滤波; 4.对测试结果进行分析; 5.完成毕业论文。 本次毕业设计进度安排: 1月10日3月1日:查阅资料、完成英文资料翻译并掌握滤波器的设计原理。 3月2日4月1日:提交英文翻译、整理并提交开题报告。 4月2

4、日5月1日:完成系统的软硬件设计并进行期中检查。 5月2日5月30日:对软硬件系统联调,准备验收,提交毕业设计论文初稿。 6月1日6月15日:修改毕业设计论提交论文终稿并进行毕业设计答辩。 指 导 教 师 魏瑞 系(教 研 室) 系(教研室)主任签名 批准日期 接受论文 (设计)任务开始执行日期 2016.1.10 学生签名 数字滤波器IIR的仿真与实现作者:彭亚楠(陕西理工学院 物理与电信工程学院 通信工程1202班,陕西 汉中 723000)指导教师:魏瑞摘要数字滤波器是现代数字信号处理系统的重要组成部分之一。本设计对IIR滤波器进行了深入研究。首先采用MATLAB软件进行前期仿真验证并计

5、算出滤波器系数,再将滤波器模块化,并用VHDL语言描述各个模块,再利用顶层文件将各个模块连接起来,最后在QuartusII环境下完成IIR滤波器的仿真、配置、编译并下载至FPGA进行硬件测试。关键字IIR数字滤波器、MATLAB、QuartusII、FPGASimulation and implementation of digital filter IIRAuthor:Peng Yanan(Grade12, Class02, Major of Communication Engineering,Physics and Telecommunication Engineering Dept.,

6、Shaanxi University of Technology, Hanzhong 723000, Shaanxi)Tutor:Wei RuiAbstract: Digital filter is one of the important component in digital signal processing system. In this paper , First, the MATLAB software is used to simulate and verify the filter coefficients,and descrip each module by use VHD

7、L language, then connect each module of the filter by the top of the document, finally completes the IIR filter simulation ,configure, compile by using the Quartus II and download to FPGA for hardware testing.Keywords: IIR Digital filter、 MATLAB、QuartusII、FPGA陕西理工学院毕业设计引言几乎所有的工程技术领域中都会涉及到信号处理问题,其信号表

8、现形式有磁、点、机械以及光、声、热等。信号处理的目的一般是对信号进行分析、变换、综合、估值与识别等。随着信息时代和数字世界的到来,数字信号处理已成为今一门极其重要的学科和技术领域。数字信号处理在通信、语音、图像、自动控制、雷达、军事、航空航天、医疗和家用电器等众多领域得到了广泛的应用。在数字信号处理应用中,数字滤波器十分重要并已获得广泛应用。随着电子工业的发展,对滤波器的性能要求越来越高,功能也越来越多,并且要求它们向集成方向发展。我国滤波器研制和生产与上述要求相差甚远,为缩短这个差距,电子工程和科技人员负有重大的历史责任。从事电子通信业而不能熟练操作使用MATLAB电子线路设计软件,在工作和

9、学习中将是寸步难行的。在数学、电子、金融等行业,使用MATLAB 等计算机软件对产品进行设计、仿真在很早以前就已经成为了一种趋势,这类软件的问世也极大地提高了设计人员在通信、电子等行业的产品设计质量与效率。所谓的数字滤波器是一种对数字信号进行处理的重要功能,对信号进行过滤、检测和参数估计等处理,即消除数字信号中的噪声,使得有价值的信号得以保留,数字滤波器应用较为广泛。数字滤波和模拟滤波器应用中体现出的优势较为明显,精度高且稳定,设备的体积小使用灵活,不要求匹配抗阻就可实现模拟滤波其的特殊滤波功能。数字滤波器实际上就是一个离散系统,从现实的网络结构或者单位脉冲相应分类,可以分为无限制脉冲相应(I

10、IR)与有限脉冲相应(FIR)两个类型。在对线性 相位不作特别要求的情况下,IIR 数字滤波器与 FIR 数字 滤波器相比,可用较低的阶数获得较高的选择性,在相同门级规模和相同时钟速度下可提供更好的带外衰减特性,具有广泛的应用。随着信息科学与计算技术的迅速发展,数字信号处理的理论与应用得到飞跃式发展,形成了一门极为重要的学科。不仅如此,它还以不同的形式影响及渗透到其他的学科中去。不论是国民经济或者是国防建设都与之息息相关,紧密相连。 我们现实生活中会遇到多种多样的信号,例如广播信号、电视信号、雷达信号、通信信号、导航信号、射电天文信号、生物医学信号、控制信号、气象信号、地震勘探信号、机械振动信

11、号、遥感遥测信号等等。上述这些信号大部分是模拟信号,也有小部分是数字信号。模拟信号是自变量的连续函数,自变量可以是一维的,也可以是二维或多维的。大多数情况下一维模拟信号的自变量是时间,经过时间上的离散化(采样)和幅度上的离散化(量化),这类模拟信号便成为一维数字信号。因此,数字信号实际上是用数字序列表示的信号,语音信号经采样和量化后,得到的数字信号是一个一维离散时间序列;而图像信号经采样和量化后,得到的数字信号是一个二维离散空间序列。目前,国内外的很多院校、科研机构以及学者,在IIR数字滤波器设计优化、滤波器稳定约束、以及硬件实现等方面都做出了大量的探索和发现,并分别对此提出了一些有效的解决方

12、法。例如,在硬件实现可配置方面,国内外在此领域也作出了相应的研究。较为突出的有Denmark大学从事的FPGA实现数字滤波器的研究;Carnegie Mellon大学从事的基于FPGA的DFT库的构建。此外,MATLAB能够利用FDAtools或程序法来实现数字滤波器的设计,并可利用其强大的应用程序接口和代码生成功能,在FPGA硬件上实现相应的特性。目前,数字信号处理已经发展成为一项成熟的技术,并且在许多应用领域逐步代替了传统的模拟信号处理系统,如通讯,系统控制,电力系统,故障检测,语音,图像,自动化仪器,航空航天,铁路,生物医学工程,雷达生纳,遥感遥测等。滤波技术是信号分析、处理技术的重要分

13、支。无论是信号的获取、传输, 还是信号的处理和交换都离不开滤波技术, 它对信号安全可靠和有效灵活地传递是至关重要的。数字信号的滤波是通过数字滤波器来实现的。数字滤波器是一种用来过滤时间离散信号的数字系统,它是通过对抽样数据进行数学处理来达到频域滤波的目的。例如,对数字信号进行滤波以限制其他的频带或滤除噪音和干扰,或将他们与其他信号进行分离;对信号进行频谱分析或功率谱分析以了解信号的频谱组成,进而对信号进行识别;对信号进行某种变换,使之更适合于传输、存储和应用;对信号进行编码以达到数据压缩的目的等等。因此滤波器的优劣直接决定着产品的优劣。所以,滤波技术是极为敏感与热门的课题, 对滤波器的研制也历

14、来为各国所重视。本论文共分为五部分,具体的安排如下:第一部分为方案设计,主要阐述了本课题的三种研究方案,以及最终选择的方案。第二部分为滤波器的介绍,简要说明了滤波器的原理和结构形式。第三部分为滤波器的硬件设计,主要说明了硬件结构框架以及硬件实现环境。第四部分为滤波器的软件设计,分别介绍了用MATLAB语言和VHDL语言来描述实现滤波器。第五部分为系统测试部分,分别为软件仿真和硬件测试。软件仿真包括MATLAB仿真和Modelsim仿真,硬件测试的实现则利用FPGA板。第六部分为结束语,总结本设计的优点以及不足并给出意见。1 系统方案设计1.1方案设计本次设计通过掌握IIR数字滤波器的原理,利用

15、仿真软件设计IIR数字滤波器并硬件实现。实现对混频信号的滤波并对测试结果进行分析。我们将其实现方案总结如下:方案一:基于单片机的滤波器实现,其实现过程为在单片机中进行汇编语言的编程,调试成功后,并将输入的模拟信号经过ADC模块转换为数字信号,然后通过单片机实现滤波,最终再通过DAC模块转换成模拟信号输出。利用Proteus实时动态仿真单片机,并实时地观测仿真结果。其实现原理框图如图1.1所示:图1.1 基于单片机的滤波器实现原理框图单片通用数字滤波器的最大优点就是使用简单便捷,但是如果其使用多字长和阶数规格不够多,在实际应用中有很大局限性。片扩展的方式则能使其应用范围变广,但是这会使滤 波器的

16、体积和功耗增加,导致另一种局限性。且这种芯片的开发周期长、开发成本高,特别是在功能重构以及应用性修正上缺乏灵活性,正在逐渐失去其实用性。方案二:基于DSP的滤波器的实现,将设计切经过验证的算法移植到DSP芯片中,使输入信号通过DSP芯片完成滤波功能。其原理框图如图1.2所示:图1.2 基于DSP的滤波器实现原理框图DSP芯片与单片机比较有着更为突出的优点,如内部带有乘法器、累加器,采用流水线工作方式及并行结构,多总线,速度快,配有适于信号处理的指令等。但是,由于它采用程序顺序执行,因此在一些要求高的实时性场合中的应用受到制约。并且受高频干扰较大,功率消耗较大且成本较高。方案三:基于FPGA的滤

17、波器的实现,与采用DSP器件相对应,用可编程逻辑器件实现数字滤波器,其主要适用于一些要求较高的实时性场合,在可编程逻辑器件容量不断增大、速度不断提高的情况下,使单片系统集成成为了可能。其系统实现原理框图如图1.3所示:图1.3 系统实现框图1.2方案选择综上所述,单片通用集成电路使用方便,但由于字长和阶数的规格较少,不能完全满足实际需要。使用DSP器件实现虽然简单,但由于程序顺序执行,执行速度必然不快。实际信号处理应用往往要求系统兼具实时性和灵活性,而现有设计方案(如DSP)则难以同时达到这两方面要求。而使用具有并行处理特性的FPGA实现FIR滤波器,具有很强的实时性和灵活性。因此为数字信号处

18、理提供一种很好的解决方案。FPGA采用了逻辑单元阵列LCA(Logic Cell Array)的概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部线(Interconnect)三个部分。用户可对FPGA内部的逻辑模块和I/O模块重新配置,以实现用户的逻辑。它还具有静态可重复编程和动态在系统重构的特性,使得硬件的功能可以像软件一样通过编程来修改。且FPGA有着规整的内部逻辑阵列和丰富的连线资源,特别适合于数字信号处理任务,相对于串行运算为主导的通用DSP芯片来说,其并行性和可扩展性更好。故本设计

19、采用FPGA来实现滤波器。1.3系统设计流程本文设计的滤波器采用MATLAB语言与VHDL语言编程相结合的方式,首先根据滤波器的性能指标在MATLAB中得到系统函数,然后采用VHDL语言编程实现。在MATLAB中对设计好的滤波器进行仿真确保所设计的滤波器符合要求,然后编写VHDL代码实现算法,在QuartusII中仿真以验证算法的正确性,最后以FPGA作为硬件实现平台测试滤波效果。采用这种方法设计滤波器即便捷又能获得优化过的硬件电路,节约硬件资源。其系统设计流程如图1.4所示:图1.4 系统设计流程图2 滤波器原理介绍2.1滤波器原理我们知道IIR滤波器是一类递归型的线性时不变因果系统。也就是

20、说,滤波器当前输出y(n)是输入序列x(n)和以前各输出值y(n-1)、y(n-2)、y(n-3)等的函数,这可以用下列差分方程来表示1: (2.1)进行z变换得: (2.2)其中MN,N是IIR滤波器的阶数。相应地,IIR滤波器的系统函数可以表示为: (2.3) 2.2滤波器的结构IIR滤波器有直接I型、直接II型、级联型和并联型4种常用的结构形式,下面对直接I型结构进行简要介绍。从式的差分方程可以看出,输出信号由两部分组成:第一部分表示将输入信号进行延时,组成M节延时网络,相当于FIR滤波器的横向网络,实现系统的零点;第二部分表示将输出信号进行延时,组成N节的延时网络,每节延时网络抽头后与

21、常系数相乘,并将乘法结果相加。由于这部分是对输出的延时,故为反馈网络,实现系统的极点。直接根据式的差分方程即可画出系统的信号流图2。如图2.1所示。图2.1 IIR滤波器的直接I型结构3 软件设计本文设计的滤波器采用MATLAB与Verilog编程相结合的方式,首先根据滤波器的性能指标在MATLAB中得到系统函数,然后采用Verilog编程实现。在MATLAB中对设计好的滤波器进行仿真确保所设计的滤波器符合要求,然后编写Verilog代码实现算法,在QuartusII中仿真以验证算法的正确性。3.1 IIR数字滤波器的MATLAB实现本文将通过调用切比雪夫II函数实现低通IIR滤波器设计并进行

22、前期验证。滤波器参数为:截至频率Fc=500HZ,采样频率为Fs=2000HZ,阻带衰减60db,7阶的低通滤波器。输入信号为f1=20HZ,f2=800HZ的混合信号。具体实现程序见附录C,MATLAB程序7。3.1.1输入信号的形成本系统的输入信号(频率分别为20hz和800hz的混叠正弦波信号)由MATLAB产生,并建立ROM的初始化文件(.hex),用输入信号数据初始化ROM以供滤波器使用。其输入信号的VHDL程序见附录C,输入信号程序。3.1.2滤波器系数的产生采用matlab提供的IIR滤波器设计函数可以直接设计各种形式的数字滤波器,函数返回值可直接得到滤波器的系数向量b(分子系数

23、向量)、a(分母系数向量)。在matlab命令窗中直接输入以下命令:b,a=cheby2(7,60,0.5)可得滤波器系数向量:b =0.0145 0.0420 0.0818 0.1098 0.1098 0.0818 0.0420 0.0145a =1.0000 -1.8024 2.2735 -1.5846 0.8053 -0.2384 0.0464 -0.0035在进行FPGA实现时,必须对每个系数进行量化处理。在此,将滤波器系数进行12比特量化,在matlab命令窗中输入如下命令:m=max(max(abs(a),abs(b);%获取滤波器系数向量中绝对值最大的数Qb=round(b/m*

24、(2(12-1)-1)%四舍五入截尾Qa=round(a/m*(2(12-1)-1) %四舍五入截尾得:Qb = 13 38 74 99 99 74 38 13Qa = 900 -1623 2047 -1427 725 -215 42 -3根据IIR滤波器系统函数,可直接写出滤波器的差分方程如式3.1所示:900y(n)=13x(n)+x(n-7)+38x(n-1)+x(n-6)+74x(n-2)+x(n-5)+99x(n-3)+x(n-4)-1623y(n-1)+2047y(n-2)-1427y(n-3)+725y(n-4)-215y(n-5)+42y(n-6)-3y(n-7) (3.1)上

25、式左乘了一个常系数900,由于上式的递归特性,为正确求解下一个输出值,需要在计算完上式后,除以900,以求取正确的输出结果。即在FPGA实现时需要增加一级常数除法运算操作。但此操作是十分耗费资源的,但当除数是2的整数幂次方时,根据二进制数的特点,可直接采用移位的方法来近似实现除法运算。移位运算不仅占用硬件资源少,且运算速度快。故有意将量化后的IIR分母系数的第一项设置为2的整数幂次方的形式。命令如下:m=max(max(abs(a),abs(b);%获取滤波器系数向量中绝对值最大的数Qm=floor(log2(m/a(1);%取系数中最大值与a(1)的整数倍if Qmlog2(m/a(1) Q

26、m=Qm+1;endQm=2Qm;%获取量化的基准值Qb=round(b/Qm*(2(12-1)-1)%四舍五入截尾Qa=round(a/Qm*(2(12-1)-1) %四舍五入截尾得:Qb =7 21 42 56 56 42 21 7Qa = 512 -922 1163 -811 412 -122 24 -23.2直接型结构IIR滤波器的VHDL实现由上可得IIR滤波器的差分方程如式3.2所示512y(n)=7x(n)+x(n-7)+21x(n-1)+x(n-6)+42x(n-2)+x(n-5)+56x(n-3)+x(n-4)-922y(n-1)+1163y(n-2)-811y(n-3)+4

27、12y(n-4)-122y(n-5)+ 24y(n-6)-2y(n-7) (3.2)求取上式右边运算结构后,再除以512即完成一次完整的滤波运算。由FPGA的特点,采用右移9比特的方法来近似实现除以512运算。因此,IIR滤波器的直接型实现结构可由图3.1表示。X(n)X(0)X(1)X(n-1)数据以系统时钟频率存入移位寄存器 数据输入乘法器乘法器 4输入加法器Ysum右移9位/除以512减法器Xout8输入加法器Yout乘法器乘法器Y(0)Y(1)Y(n)Y(n-1) 数据输出 数据以系统时钟频率移出移位寄存器 图3.1 IIR滤波器直接型实现结构从上图可知,对于零点系数的实现结构完全可以

28、看做没有反馈环路的的FIR滤波器结构,且可利用对称系数的特点进一步减少乘法运算;单独查看系统极点的实现结构,即求取Yout信号的过程可看作一个不带反馈环路的电路结构,整个IIR滤波器的闭环过程只在求取Ysum的减法器,以及移位算法实现除法运算的过程中完成。3.2.1零点系数的FPGA实现零点系数的FPGA实现可看作是一个FIR系统,因此可完全采用FIR滤波器实现的方法。需要注意的是,由于IIR滤波器的反馈结构特性,需要实现零点系数及极点系数的运算满足严格的时序要求。也就是说,要求在计算零、极点运算式时不出现时延,这一结构特点实际上限制了系统的实现速度。为提高系统的运行速度,零点系数的运算采用全

29、并行结构,对于长度为8的具有对称系数的FIR滤波器并行结构,需要4个乘法器。本例中的零点系数运算采用移位相加法。所谓移位相加法,就是使用移位运算及加减法运算来实现常系数乘法运算的方法。在二进制运算中,当常系数是2的整数次幂次方时,可以采用左移相应位数来实现相应的乘法运算。比如,左移1位,相当于乘以2;左移2位,相当于乘以4;右移1位,相当于除以2。零点系数的FPGA实现的VHDL程序见附录C,零点系数实现程序4。3.2.2极点系数的FPGA实现分析式3.2,其实可将其分解为两部分,即512y(n)=Zero(n)-Pole(n),式中Zero(n)=7x(n)+x(n-7)+21x(n-1)+

30、x(n-6)+42x(n-2)+x(n-5)+56x(n-3)+x(n-4) (3.3) Pole(n)=-922y(n-1)+1163y(n-2)-811y(n-3)+412y(n-4)-122y(n-5)+24y(n-6)-2y(n-7) (3.4) y(n)=zero(n)-pole(n)/512 (3.5)因此,可将极点系数的运算看成式3.4的运算,而此式同样可看作一个没有反馈的实现结构。整个IIR系统的反馈结构则体现在式3.5的计算过程中。计算式3.4的FPGA实现过程与计算式3.3的过程没有本质的区别,同样是一个乘加运算,其中的乘法运算采用通用乘法器IP核来实现。需要注意的是,为保

31、证严格的时序特性,乘法器IP核不能不能使用输入/输出带有寄存器的结构。极点系数FPGA实现的VHDL程序清单见附录C,极点系数实现程序。3.2.3顶层文件的设计实现了IIR滤波器的零、极点运算后,顶层文件的设计将非常简单,即完成式4.5的运算过程。其顶层结构如图3.2所示:图3.2 IIR直接型结构FPGA实现的顶层文件RTL原理图图3.2为本实例顶层文件的RTL原理图,从图中可清晰看出IIR滤波器系统与零点实现结构及极点实现结构之间的关系8。4 硬件设计本毕业设计是基于现场可编程门阵列器件FPGA来实现IIR数字滤波器,以IIR数字滤波器的基本理论为依据,确定了IIR数字滤波器的硬件实现方案

32、。以一个七阶IIR数字滤波器为例,采用直接I型结构,用VHDL语言加以描述,通过编译、功能仿真、综合之后就可以在FPGA上实现了。本设计采用的FPGA开发板的核心芯片为Cyclone IV EP4CE6F17C8.首先将编译好的VHDL程序通过JTAG端口下载至FPGA板运行后SignalTap II将测得的样本信号暂存于目标器件中的嵌入式RAM中,然后通过器件的JTAG端口将采得的信息传出,送入计算机进行显示和分析。其主要过程包括如下:(1)HDL文本输入:这种方式与传统的计算机软件语言编辑输入基本一致。就是将使用了某种硬件描述语言的电路设计文本,如VHDL的源程序,进行编辑输入。(2)综合

33、:整个综合过程就是将设计者在EDA平台上编辑输入的HDL文本依据给定的硬件结构组件和约束控制条件进行编译、优化、转换、综合。(3)适配:适配器也称结构综合器,它的功能是将由综合器产生的网表文件配置于制定的目标器件中,使之产生最终的下载文件。(4)编程下载:把适配后生成的下载或配置文件,通过编程器或编程电缆向FPGA下载,以便进行硬件调试和验证。(5)硬件测试:最后是将含有载入了设计文件的FPGA的硬件系统进行统一测试,以便最终验证设计项目在目标系统上的实际工作情况,以排除错误,改进设计10。4.1 FPGA介绍本设计采用的开发板使用的是ALERA公司的CYCLONE IV系列FPGA,型号为E

34、P4CE6F17C8,256个引脚的FBGA封装。其整个系统的结构示意图如图4.1所示:图4.1 EP4CE6F17C8型号的FPGA结构示意图其中重要部分描述如下:(1)JTAG接口JTAG式FPGA的重要接口,它的作用是将编译好的程序(.sof)下载到FPGA中,由于FPGA是基于ram的一种结构,内部没有可以固化的FLASH,因此,通过JTAG口下载的程序,掉电以后就会丢失,需要上电重新下载才可以。其原理图部分如图4.2所示:图4.2 JTAG接口部分(2)50M有源晶振它的作用是给开发板提供时钟源,使用的是FPGA的E1脚。其结构图如图4.3所示;图4.3 50M有源晶振(3) USB

35、转串口USB转串口即实现计算机USB接口到通用串口之间的转换。其原理图如图4.4所示:图4.4 USB转串口原理图同时对串口信号设置了两个led指示灯,会指示串口是否有数据发出或者是否有数据接受。其串口引脚分配如表4.1所示5。表4.1 串口引脚分配 引脚名称 FPGA引脚 RXD M2 TXD G14.2硬件平台搭建首先用下载线将自己的PC与FPGA板相连。至此硬件平台搭建完成,如图4.5所示:图4.5 硬件平台4.3硬件测试环境随着逻辑设计的复杂性的不断增加,仅依赖于软件方式的仿真测试来了解设计系统的硬件功能和存在的问题已经远远不够了,而需要重复进行的硬件系统的测试也变得更加困难。为了解决

36、这些问题,设计者可以将一种高效的硬件测试手段和传统的系统测试方法相结合。这就是嵌入式逻辑分析仪的使用。它的采样部件可以随设计文件一并下载至目标芯片中,用以捕捉目标芯片内部系统信号节点处的信息或总线上的数据流,却又不影响原硬件系统的正常工作,这就是Quartus II中嵌入式逻辑分析仪SignalTap II的目的。在实际监测中,SignalTap II将测得的样本信号暂存于目标器件中的嵌入式RAM中,然后通过器件的JTAG端口将采得的信息传出,送入计算机进行显示和分析。故在SignalTap II环境下实现硬件测试是特别方便且高效的9。在下载之前需要做一些设置,如图4.6所示:图4.6 下载前设置如图所示,Hardware Stetup选择USB-Blaster,Mode选择JTAG,然后点击start按钮即可下载程序至FPGA板。当Progress显示100%(Successful)时即表示下载完成,如图4.7所示。这时可用SignalTap II查看系统的硬件测试情况。图4.7 下载完成显示5 系统测试5.1软件仿真5.1.1 MATLAB仿真结果运用Matlab语言,能很容易地设计出具有严格指标要求的数字滤波器。通过在MATLAB中对设计好的滤波器进行仿真确保所设计的滤波器符合要求。其仿真结果

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 学术论文 > 毕业论文/毕业设计

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服