收藏 分销(赏)

八路抢答器专业课程设计范文.doc

上传人:w****g 文档编号:2610757 上传时间:2024-06-03 格式:DOC 页数:35 大小:1.09MB
下载 相关 举报
八路抢答器专业课程设计范文.doc_第1页
第1页 / 共35页
八路抢答器专业课程设计范文.doc_第2页
第2页 / 共35页
八路抢答器专业课程设计范文.doc_第3页
第3页 / 共35页
八路抢答器专业课程设计范文.doc_第4页
第4页 / 共35页
八路抢答器专业课程设计范文.doc_第5页
第5页 / 共35页
点击查看更多>>
资源描述

1、成绩 课 程 设 计 说 明 书 课程设计名称: 电子技术课程设计 题 目: 八路抢答器 学 生 姓 名: 专 业: 电气工程与自动化 学 号: 指 导 教 师: 龙驹 日期:7月1日八路抢答器摘 要:该设计中八路抢答器重要分为三个某些:抢答某些、计时某些、报警某些。抢答某些由74LS573锁存器及74LS48译码显示等重要器件构成。两片74LS192和两片74LS48共同构成计时某些。两个555芯片分别构成单稳态触发器和多谐振荡器构成报警电路。在整个设计中主持人通过控制总开关完毕了对整个抢答器总体控制和置数控制。通过proteus仿真验证该项设计对的性和功能完整性。核心词:74LS573锁存

2、器,74LS192计数器,74LS48译码器,555多谐振荡器, 555单稳态触发器 Abstract:The design of eight-way Responder is divided into three parts:the answer in part,part time,alarm parts. Answer in part by the 74LS573 and 74LS48 latch decoding shows the composition of major components. 2 and the two 74LS48 74LS192 constitute count

3、,home number,decoding time part of the common component. Composed of two 555 chips were flip-flops and monostable multivibrator constituted alarm circuit. Over the entire design of completed by controlling the main switch on the answering device number of the overall control and home control. Pretus

4、 ISIS simulation through the design of the correctness and completeness of functionality.Keywords:74LS573Latch,74LS48Decoder,74LS192counter,555Multivibrator, 555One-sho目 录1 前言12 总体方案设计22.1 方案比较22.2 方案论证32.3 方案选取43单元模块设计53.1 抢答电路53.2 抢答锁存、编码电路53.3 定期电路63.4 报警电路73.5 整个系统时序控制电路84 软件设计原理94.1 软件构造图104.2

5、工作流程框图115系统调试125.1 系统仿真总图125.2 系统仿真参数设立125.3 系统调试125.4 调试成果分析156系统功能、指标参数176.1 系统功能简介176.2 计器件及参数176.3 系统功能及指标参数分析177结论198总结与体会209谢辞2110参照文献22附录1:系统电路原理总图23附录2:系统仿真原理总图24附录3:系统PCB总图251 前言随着国内经济和文化事业发展,在诸多公开竞争场合规定有公正竞争裁决,诸如证券、股票交易及各种智力竞赛等,因而浮现了抢答器。抢答器普通是由诸多电路构成,线路复杂,可靠性不高,功能也比较简朴,特别是当抢答路数诸多时,实现起来就更为困

6、难。因而咱们设计了以单片机为核心新型智能抢答器,在保存了原始抢答器基本功能同步又增长一系列实用功能并简化其电路构造。抢答器又称为第一信号鉴别器,其重要应用于各种知识竞赛、文艺活动等场合。老式普通抢答器重要存在如下缺陷:在一次抢答过程中,当浮现超前违规抢答时,只能解决违规抢答信号,而对没有违规有效抢答信号不能进行解决,因而使该次抢答过程变为无效。当有各种违规抢答时,普通抢答器或采用优先编码电路选取其中一种,或运用抢答电路电子元件“竞争”选取其中一种。对于后者由于抢答电路制作完毕后电子元件被固定。各路抢答信号“竞争”能力也被固定,因而本质上也有优先权。普通抢答器存在不公平性。当有各种违规抢答时,普

7、通抢答器只能“抓住”其中一种而浮现“漏洞”。为了适应当代电子技术飞速发展需要,更好培养出21世纪应用型人才,每个高校都开设数字电子技术基本这门课程。判断一名21世纪大学生与否符合应用型人才原则,数字电子技术实际应用能力也在其中起到了举足轻重地位!随着社会不断发展,电子技术也到了飞速发展,各中电子产品质量也到了质突飞猛进。其中抢答器也由此前简朴、功能单一电路,变为当前复杂、实现功能多电子产品。当前在当前这个社会抢答器运用相称普遍,在竞赛、文体娱乐活动(抢答活动)中,能精确、公正、直观地判断出抢答者机器。通过抢答者批示灯显示、数码显示和警示显示等手段批示出第一抢答者。例如说咱们看电视节目正大综艺中

8、许多时候都要用抢答器。抢答器在当前这个高压工作环境下,娱乐已经成为一种最佳休息方式。在娱乐中最惯用电子设备就是抢答器。作为当前工科大学生。对一这一简朴耐用运用非常广泛设备。咱们有必要好好学习并全面加深对它原理理解和对它结识与运用。2 总体方案设计对同一种目实现,可以用不同方案,下面就着重简介如下两种方案对同一目实现办法,并比较两种方案优劣。2.1 方案比较2.1.1方案一在抢答器中咱们重要运用了数字电子技术几种基本芯片。其中最用最多就是74LS系列芯片。在本设计中运用了74LS192,74LS48以及锁存器这一系列逻辑集成电路。在报警这一块电路中555芯片是运用最多。在数字电子技术中555芯片

9、运用相称广泛,单稳态触发器、斯密特触发器和各种控制端信号系统框图如图2.1所示。抢 答按 钮优先编码电路译 码电 路抢 答显 示主持人控制开关时钟脉冲产生定 时电 路显 示译 码控 制电 路报警和提示音电路定 时显 示锁 存器图2.1方案一系统框图工作原理简述:接通电源后,主持人将开关拨到清零状态,锁存器处在禁止状态,抢答显示屏灭灯,控制定期电路,定期器显示由主持人设定期间;主持人将开关置“开始”状态,宣布开始抢答器工作,定期器倒计时,控制报警和提示音电路发出间断声响提示。当定期时间到,却没有选手抢答时,控制报警和提示音电路发出持续声音,并封锁输入电路,禁止选手超时后抢答。选手在定期时间内抢答

10、时,抢答器完毕:优先判断、编号锁存、编号显示。当一轮抢答之后,定期器停止、禁止二次抢答、定期器显示剩余时间。如果再次抢答必要由主持人再次操作清除和开始状态开关。2.1.2方案二系统框图如图2.2所示。抢 答按 钮优先编码电路锁 存器译 码电 路抢 答显 示主持人控制开关时钟脉冲产生定 时电 路显 示译 码时序控制电路报 警电 路定 时显 示提示音电路 图2.2 方案二系统框图工作原理简述:接通电源后,主持人将开关拨到清零状态,锁存器处在禁止状态,时序控制电路控制抢答显示屏灭灯,同步由主持人控制定期电路设定抢答时间;主持人将开关置“开始”状态,强大显示屏人为灭灯状态,宣布开始抢答器工作,定期器开

11、始倒计时,提示音电路给出间断声响提示。当定期时间到,却没有选手抢答时,报警电路报警,同步控制锁存器封锁输入电路,禁止选手超时后抢答。选手在定期时间内抢答时,抢答器完毕:优先判断、编号锁存、编号显示。当一轮抢答之后,定期器停止、禁止二次抢答、定期器显示剩余时间。如果再次抢答必要由主持人再次操作清除和开始状态开关。2.2 方案论证 方案可行性分析:对于方案一不可行,由于在抢答开始之前不能对抢答显示电路清零;报警声电路和提示音电路不能用一种电路实现,是由于报警声是持续,因而需要一种单稳态电路和一种多谐震荡电路。而提示音是间断,只需一种多谐震荡电路即可。并且它们工作状态不同,对其元件参数设立也就不同;

12、先编码后锁存,当有选手抢答时,控制锁存器锁存而锁存器确没有状态输出。以至于显示抢答电路无显示。对于方案二可行,是在方案一基本之上进行修改和完善。采用是当锁存器有输出即有抢答输入时,及时封锁锁存器,编码器优先编码,再次封锁锁存器,抢答显示电路显示;报警电路和提示音电路分开,以达到各自工作状态;抢答开始之前主持人可以对抢答显示电路清零。2.3 方案选取比较方案一和方案二可以看出,方案二比喻案一更加可靠,并且使用到元器件也都是咱们所惯用到某些元件例如:555,74LS148、CD4511、74LS192、74HC5573以及开关、电容、电阻、门电路等某些咱们所学过,用过基本器件;从操作行和可行性上说

13、方案二思路清晰,成品使用以便等优势;从自身势力上来说,方案二略显复杂某些,但由于本次设计是第一次将数字电子电和模仿电子运用于实际电路设计中,咱们尚未完全掌握这2门知识,对电路还不能达到最优化设计,因此综合各个方面因数,我选取了方案二作为本次课题重要研究对象,本文也将注重简介方案二设计办法。 3单元模块设计本节重要简介系统各单元模块详细功能、电路构造、工作原理、以及各个单元模块之间联接关系;同步本节也会对有关电路中参数计算、元器件选取、以及核心器件进行必要阐明。3.1抢答电路该某些电路要完毕两个功能:一是辨别出选手按键先后,并锁存优先抢答者编号,同步译码显示电路显示编号;二是禁止其她选手按键操作

14、无效。在设计中运用74HC573锁存器锁存抢答选手编号。然后再紧接着用74LS13和4002构成一种BCD译码功能块,最后把译码块成果送到数码管上显示出抢答者对的编号。如图31该电路由八路开关S1S8所构成,每一竞赛者与一组开关相相应,选手编号是1到8,开关应为常开型,当按下开关时,开关闭合,输入抢答信号。图3.1选手抢答按钮电路3.2抢答锁存、编码电路抢答器电路由锁存器和按键构成。在未抢答前,8路锁存器74HC573LE端为高电平,锁存器输入端电平能直接送到相应输出端,当抢答开始后,LE端变成低电平,锁存器锁存,也就是说输入信号不能送到相应输出端,各个输出保持锁存前电平。此时抢答不能进行。当

15、主持人按下时,74HC573OE为高电平,显示屏被清零,抢答被禁止。当主持人开关断开时,抢答被容许。此时如有人抢答,74HC573相相应输入端则变成低电平,通过反馈74HC573锁存信号。LE控制端保持高电平,抢答准备工作已经完毕。当8个抢答开关S1至S8中有一种先按下时,其相应输入端变为低电平,此低电平被送到锁存器相应输出端,此时编码器模块开始编码,74HC573执行锁存功能,这时如果有按键按下,锁存器输出端也不会发生变化,从而实现了锁存功能和编码功能。 图3.2 整个抢答某些电路3.3 定期电路该某些重要由555定期器、十进制同步加减计数器74LS192减法计数电路、74LS48译码电路和

16、两个7段数码管及有关电路构成。详细电路如图3.1.4所示。两块74LS192实现减法计数,通过译码电路CD4511显示到数码管上,其时钟信号由时钟产生电路提供。74L S192预置数控制端PL脚为低电平时实现预置数,由节目主持人依照抢答题难易限度,设定一次抢答时间,通过预置时间电路对计数器进行预置,选用十进制同步加减计数器74LS192进行设计,计数器时钟脉冲由秒脉冲电路提供。主持开关弹起后,计数器开始减法计数工作,并将时间显示在共阴极七段数码显示管DS2(十位)和DS3(个位)上,当有人抢答时,停止减计数并显示此时倒计时时间;如果没有人抢答,且倒计时时间届时,两数码管显示00,并输出低电平届

17、时序控制电路,控制报警电路报警,同步后来选手抢答无效。图3.3定期电路3.4报警电路由555定期器扬声器构成报警电路如图3.4所示。其中555构成多谐振荡器,其输出信号经电容滤波后推动扬声器发声。PR为控制信号。5554脚为清零端,为低电平时输出低电平,当PR为高电平时,多谐振荡器工作,使扬声器发生。发生某些中555构成多谐振荡器,其输出信号经电容滤波后推动扬声器发声。DU du为控制信号。5554脚为清零端,为低电平时输出低电平,当DU du为高电平时,多谐振荡器工作,使扬声器发生。 (a) (b) 图3.4报警电路3.5整个系统时序控制电路 时序控制电路是整个系统中非常重要一某些:图(a)

18、重要是通地采集抢答电路选手抢答状况而控制报警电路:图(b)该某些是在有抢答时控制74LS573锁存端:图(c)在计数器倒计到0时仍没有抢答时电平变换来控制启用报警电路。 (a) (b) (c)图3.5 系统时序控制电路4 软件设计原理Protel99SE是Protel公司近来致力于Windows平台开发最新结晶,能实现从电学概念设计到输出物理生产数据,以及这之间所有分析、验证和设计数据管理。因而今天Protel最新产品已不是单纯PCB(印制电路板)设计工具,而是一种系统工具,覆盖了以PCB为核心整个物理设计。Protel 设计系统是一套建立在IBM兼容PC环境下EDA电路集成设计系统,由于其高

19、度集成性与扩展性,一经推出,及时为广大顾客所接受,不久就成为世界PC平台上最流行电子设计自动化软件。 从Protel 98开始,Protel公司将所有应用程序代码从16位升级为32位,使性能大大提高。1999年初,Protel公司推出了Protel 99,其最大变化是引入了设计数据库文献和设计团队概念,而后又推出了Protel 99改进版-Protel 99 SE。Protel 99 SE(Second Edition)在原理图设计和电路仿真方面增长了许多小功能,而其最重要改进体当前电路板设计系统方面。使用Protel 99 SE,你将赞叹其强大功能和应用弹性。据说Protel99SE某些最新

20、功能将兼容举世瞩目图形制作,编辑和解决软件COREL DRAW。当时欧元硬币设计解决方案由Corel DRAW来完毕,COREL DRAW图形套件中,它集位图编辑解决,网页动画,网页发布,页面布局,向量动画等各种必要功能于一身。将会使您大大地提高专业创作效率。 Protel99 SE共分5个模块,分别是原理图设计、PCB设计(包括信号完整性分析)、自动布线器、原理图混合信号仿真、PLD设计。 如下简介某些Protel99SE某些最新功能:可生成30各种格式电气连接网络表;强大全局编辑功能;在原理图中选取一级器件,PCB中同样器件也将被选中; 同步运营原理图和PCB,在打开原理图和PCB图间容许

21、双向交叉查找元器件、引脚、网络 既可以进行正向注释元器件标号(由原理图到PCB),也可以进行反向注释(由PCB到原理图),以保持电气原理图和PCB在设计上一致性;满足国际化设计规定(涉及国标标题栏输出,GB4728国标库);以便易用数模混合仿真(兼容SPICE 3f5);支持用CUPL语言和原理图设计PLD,生成原则JED下载文献;PCB可设计32个信号层,16个电源-地层和16个机加工层;强大“规则驱动”设计环境,符合在线和批解决设计规则检查;智能覆铜功能,覆铀可以自动重铺;提供大量工业化原则电路板做为设计模版;放置中文功能;可以输入和输出DXF、DWG格式文献,实现和AutoCAD等软件数

22、据互换;智能封装导航(对于建立复杂PGA、BGA封装很有用);以便打印预览功能,不用修改PCB文献就可以直接控制打印成果;独特3D显示可以在制板之前看到装配事物效果;强大CAM解决使您轻松实现输出光绘文献、材料清单、钻孔文献、贴片机文献、测试点报告等;通过充分验证传播线特性和仿真精准计算算法,信号完整性分析直接从PCB启动;反射和串扰仿真波形显示成果与便利测量工具相结合;专家导航帮您解决信号完整性问题4.1软件构造图软件构造图如图4.1所示。Advanced Integrity99Protel Advanced Route 99SEProtel Advanced PLD99SEAdvanced

23、 SIM99Protel Advanced Schimatic 99SEProtel Advanced PCB 99SEProtel 99SES图4.1 软件构造图4.2工作流程框图工作流程框图如图4.2所示。建一数据库建一原理图文献建一PCB文献元件制作元件制作建一原理图文献建一PCB元件库文献特殊元件设计特殊元件设计原理图设计原理图设计否否检查并生成网络文献元件布局布线检查并生成网络文献文献保存图42 工作流程框图5系统调试5.1系统仿真总图 见附录2所示。5.2 系统仿真参数设立在系统调试之前,咱们需要对系统进行参数设立,如表5.2所示。表5.2元件参数表5.3系统调试5.3.1抢答定期

24、调试运营proteus仿真。预调抢答时间为30秒钟,在设计中,应用了两个两块74LS192,分别显示个位和十位数字。这是显示预设30秒。在调试中一方面要明白计数器工作原理。第一种数码管显示是抢答组编号。第二个数码管显示是预调时间十位,第三个数码管为预设时间个位,如图5.1所示图5.1 调试电路5.3.2抢答功能调试 图5.2显示在预设为30秒时候。在秒表计数为25秒时候选手5号抢答。图5.2选手抢答5.3.3无人抢答报警调试 如图5.3所示为在倒计时为零时依然没有人抢答。555构成多谐振荡器电路报警。图5.3无人抢答报警5.4调试成果分析5.4.1调试中遇到问题和此电路局限性在调试最初不能锁存

25、,或是锁存不了1和7,则问题在锁存电路,应当从原理上进行分析。锁存电路设计原理是:启用74HC573锁存功能端LE,高电平有效,即输入高电平时执行锁存功能。锁存器应能锁定第一种抢答信号,并回绝背面抢答信号干扰。如何设计呢,咱们对09十个数字显示笔段进行分析,只有0数字d笔段亮与g笔段灭,其他数字至少有一点不成立。由此可以区别0与其他数字。咱们将LED管a笔段与g笔段输入信号反馈到锁存电路,通过锁存电路控制锁存端LE输入为0或1(锁存与否)。当LED显示屏显示为0时,LE=0,74HC573译码芯片不锁存;当LED显示屏显示其他数字时, LE=1,芯片锁存。这样只要显示屏上显示为0,74HC57

26、3译码芯片才不锁定,显示其他数字均锁存。因此只要有选手按了按键,显示屏上一定是显示18数字,LE=1芯片锁存,之后任何其她选手再按下按键均不起作用。例如1键先按下,显示屏上显示1,LE=1芯片锁存,其她选手再按2-8,显示屏上仍显示1,SB1按下之后任一按键信号均不显示。直到主持人按清零键(总开关),显示屏上又显示0,LE=0,锁存功能解除,又开始新一轮抢答。5.4.1 调试电路已实现功能通过先分步调试后整体调试办法,本设计已实现了优先抢答,主持人可以设定抢答时间定期抢答,定期时间到抢答无效并报警。在最初高度中八路抢答无法实当前倒计时时候0.5秒提示音响,日后通过添加一种74121构成单稳态触

27、发器实现这一功能。74121集成器件是一种不可重复触发集成单稳态触发器。通过74121可以完毕倒计时“嘟嘟”提示音。6系统功能、指标参数6.1系统功能简介该系统可以实现优先抢答功能,选手编号与显示号码相相应,主持人可以手动清零复位且具备设定抢答时间功能,抢答时间到若无选手抢答系统可以报警且后来抢答无效。接通电源时,世上主持人将开关置于“清除”位置,抢答器处在禁止工作状态,编号显示屏灭灯,定期显示屏上显示设定期间。当世上主持人。宣布抢答题目后,说一声“抢答开始”,同步将控制开关拨到“开始”位置,扬声器声响提示抢答器处在工作状态,定期器倒计时。当定期时间到,却没有选手抢答时,系统,并封锁输入电路,

28、禁止选手超时后抢答。当选手在定期时间内按动抢答按钮时,抢答器要完毕如下四项工作:优先编码电路及时辨别出抢答者编号,并锁存器进行上,然后由译码显示电路显示编号扬声器发出短暂声响,提示台上主持人注意控制电路要对编码电路进行封锁,避免其她选手再次进行抢答。控制电路要使定期器停止工作,时间显示屏上显示第八抢答时间,并保持到主持将系统清零为止。当选手将问题回答完毕时,主持人操作控制开关,使系统回答到禁止工作状态,以便下一轮抢答。6.2计器件及参数八路抢答器元件和参数及型号如表6.1所示6.3系统功能及指标参数分析抢答电路功能有两个:一是能辨别出选手按按钮先后,并锁存优先抢答者编号,供译码显示电路用,二是

29、要使其她选手按按钮操作无效。选用三个与非门和一种非门构成一种编码器再加上一种74HC573锁存器达到目功能定期电路设计:台上主持人依照抢答题限度。设定一次抢答时间,时间电路运载计数器进行,选用十同步加、减计数器74LS192进行设计计数器时钟脉冲由秒脉冲电路提供,详细电路如图男.时序控制电路是抢答器设计核心,它要完毕如下三项功能:一是主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路定期电路进入正常抢答工作。二是当参赛选手按动抢答争时,扬声器发声,答电路和定期电路停止工作。三是当设定抢答时间到,无选手抢答时,抢声器发声,同步抢答电路和定期电路停止工作。在八路抢答器设计中报警和提示音频率由

30、电阻R4和C5以及R24和C7控制在本实验田中R4取是3欧姆,C5取是5微法。R24用5欧姆,C7用1.5微法。整个电路用电源均为5伏。表6.1八路抢答器元件参数7结论该设计用仿真软件proteus完美验证了理论分析成果。八路抢答器是一种用途非常广泛电路,在诸多地方都可以见到它们身影,如在学校、电视台、等竞争公共场。我设计这个八路抢答器电路,是以74LS系统集成芯片为主做一种整体具备逻辑控制和智能调节时间智能抢答器,它可以锁定抢答者编号并且背面在主持人没有清零状况下日后人无法抢答。在计时模块中运用了192作为置数和计数两用。主持人可以通过判断韪难易限度设定抢答时间,在报警电路用运用了555构成

31、单稳态触发器和多谐振荡器来控制报警。通过这次课程设计,我收获颇多。一方面,这次设计过程中我查阅了诸多资料,通过查阅各种资料,加深了我对更多理论知识理解,特别是对诸多元器件、模仿电路和数字电路理解。通过这次设计进一步加深了我团队意识,团队协作是非常重要。更重要是通过这次课程设计,我自学能力、解决问题能力得到了深化以及如何用最有效率办法让陌生东西变得熟悉。但是,这个设计还是有它局限性之处。一方面就是电路设计有些复杂,并且她设计中逻辑门运用过多。这样使得整个线路就相对要复杂某些,它们会耗费掉一定电力,这些局限性之处仍有待解决。8总结与体会在大学生活中,终于进行了一次课程设计。我心中布满了高兴与盼望。

32、手中一拿到题目,就有了动手冲动。从最初高兴到迷茫到失败再到最后成功,这当中都布满了咱们小组喜怒哀乐。 在这两周咱们学会了诸多。特别是课堂上学不到东西。在这里咱们第一次真正把学东西转变成了电子产品。通过这次设计,我对数字电路设计中逻辑关系等有了一定结识,对此前学数字电路又有了一定新结识,温习了此前学知识,但在设计过程中,遇到了诸多问题,有某些知识都已经不太清晰了,但是通过某些资料又重新温习了一下数字电路某些内容。在这次设计中也使咱们同窗关系更进一步了,同窗之间互相协助,有什么不懂人们在一起商量,听听不同看法对咱们更好理解知识,因此在这里非常感谢协助我同窗。 两周时间课程设计终于结束了,通过两周以

33、来同窗努力,在教师之前指引下,咱们完毕了这次课程设计。面对从未接触过事情,不懂得从何开始下手,在一步步实践中,咱们学习到了某些除技能觉得其他东西,深切体会到人与人之间那种互相协调合伙机制,更领略到了专业技能重要性,最重要是咱们对某些问题看法更加客观了。 咱们即将踏入社会,在进入之前咱们需要学习东西还诸多,为人处世各个技能,如何协调彼此关系值得咱们去细细品味。在课程设计当中合伙交流是必不可少,每个人都把这样一种事情当成是自己重要任务,并为之付出很大努力,不断去思考 自己所遇到问题,不断去改进自己。在生活当中,咱们面对诸多问题时候所采用详细行动也是不同,但是咱们面对问题时候态度是非常重要,而不是一

34、种人能力究竟有多强,态度决定一切,端正态度和明确目的是咱们解决问题核心。咱们在学习知识同步也要学习做人,诸多时候一种人品质决定事情成败。在这次课程设计过程中,咱们也遇到了诸多问题。例如拉线,咱们弄了很长时间,先是间间断断,这直接导致了咱们无法很 回顾上两周课程设计时间,收获了诸多,也付出了诸多,第一周重要功能是熟悉ISIS软件操作使用,学会仿真,最多是就是看书查找资料,对有关元器件做某些理解, 我不敢说后来一定要学得怎么样,至少当前懂得一定要善于观测,积极思考,态度认真,坚持究竟做好每一件事,同样对待身边每个人。 有时会感觉这些并不是我自己摸索到,而是教师您在教学中无形传授给咱们,虽然我当前学

35、得不怎么样,但我不怕失败,并勇敢地走下去9谢辞在这次课程设计中我一方面要感谢咱们带课教师龙驹教师。每一次教师来教室辅导时候龙教师都是在超时为同窗们指引答疑。特别是晚上辅导时候龙教师每次都是在晚上十点多才离开教室。教师这种对工作态度对学生关怀,让我受到了感染,在这学期由于自己脊柱受过重伤,学习很不以便。对次课程设计没有太注重。但看到教师都这样操劳工作。自己受到很大感染。在后期课程设计中自己加倍努力。把课程设计较好完毕。因而我要感谢教师,是教师用自己言行教诲了我:无论做什么事情都要全身心投入,既然要做就一定要把它做好。龙驹教师对这次课程设计抱着认真负责态度,她极力做好安排、指引、答辩等各个环节,只

36、为咱们能通过这两周课程设计学到更多知识,更快地提高咱们能力。在龙教师指引下,我学到了诸多平时没有学到东西,特别是学到了诸多依托咱们专业知识在实际生活中广泛应用东西。另一方面我要感谢我同窗和成员这个团队。我是专升本同窗,此前在数字电路设计方面基本很差。这一次许多地方自己都不和,在做ISIS时候自己对许多集成芯片特别是74系列芯片特殊功能端不是很敏感。每一种芯片使能端自己不是很熟悉。在做ISIS时候有许多同窗帮在旁边帮指引解说。在此我要感谢她们。最后,我还要感谢学校能安排这次课程设计,为咱们熟悉咱们专业有关提供了平台。固然,这次课程设计能获得成功,还少不了咱们组几种同窗通力合伙,人们在设计中献言献

37、策,不积极发挥着个人聪颖与才智。10参照文献1 张瑾等.Protel 99SE入门与提高M北京:人民邮电出版社,2 彭介华.电子技术课程设计指引M北京:高等教诲出版社.3 康华光.电子技术基本模仿某些(第5版)M北京:清华大学出版社,4 康华光.电子技术基本数字某些(第5版)M北京:清华大学出版社,5 华成英.电子技术北京M.北京:中央广播电视大学出版社,6 赵淑范等.电子技术实验与课程设计M.北京:清华大学出版社,087贾更新.电子技术基本实验设计与仿真M.郑州:郑州大学出版社,108杨旭东等.实用电子电路精选M.北京:化学工业出版社,9 王港元等.电子电工实践指引M南昌:江西科学技术出版社,10 任致程等.典型集成电路400例M北京:机械工业出版社,11 谢自美等.电子线路设计.实验.测试M武汉:华中科技大学出版社,附录1:系统电路原理总图附录2:系统仿真原理总图附录3:系统PCB总图

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 学术论文 > 其他

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服