收藏 分销(赏)

程控滤波器设计毕业论文.doc

上传人:胜**** 文档编号:2138660 上传时间:2024-05-18 格式:DOC 页数:57 大小:3.77MB
下载 相关 举报
程控滤波器设计毕业论文.doc_第1页
第1页 / 共57页
程控滤波器设计毕业论文.doc_第2页
第2页 / 共57页
程控滤波器设计毕业论文.doc_第3页
第3页 / 共57页
程控滤波器设计毕业论文.doc_第4页
第4页 / 共57页
程控滤波器设计毕业论文.doc_第5页
第5页 / 共57页
点击查看更多>>
资源描述

1、Liaoning Normal University0 科 生 毕 业 论 文 ( 设 计 ) 0 目:程控滤波器设计 0 院: 专 业: 电子信息工程 学 号: 学生姓名: 指导教师:0 月 摘要摘要:本系统实现程控滤波,放大器增益可设置;低通或高通滤波器通带、截止频率等参数可设 置。硬件以单片机 AT89C52 为核心控制,主要由 PGA103 放大器、程控滤波器 MAX262、椭圆滤波 器和幅频特性测试(DDS)等部分组成。放大器采用 PGA103,实现 60dB 固定增益放大,步进 10dB 由单片机控制 AD7520 实现,其误差不大于 5%。低通、高通滤波器由单片机控制可编程滤波器

2、芯 片 MAX262 实现,在 2fc 处放大器与滤波器的总电压增益不大于 30dB。幅频特性测试由 DDS 产生 信号经峰值检波后送入 A/D 转换实现。本系统设计简单,能很好地实现滤波器的程控,使用方便, 具有较高的性价比、实用性和使用价值。在此文中,采用 multisim 系统仿真实现程控滤波功能。关键字 AT89C52 程控滤波器 MAX2621ABSTRACTAbstract : This system can realize the digital programmable filter and also could intercalate the gain of amplifie

3、r, the passband parameter and cut-off frequency of LPF or HPF could be intercalated. The hardware uses MCU(AT89C52)as the core to control the system, it consist of the PGA103、MAX262、the four-order elliptic low-pass filter and DDS an so on. The amplifier uses the PGA103, the fixed gain of amplifier i

4、s 60dB, the AT89C52 control the AD7520, it could realize the controled 10dB gain, the error has 5%. The LPF and HPF are realized by the programmable switched-capacitor filter integrated chip MAX262, the chip is controled by the AT89C52, the voltage gain of amplifier and filter is 30 dB in the 2fc, D

5、DS theory is adopted to produce Amplitude-frequency characteristics tester. The design of the system is simple, it is very convenience. In this article, system simulation using multisim achieve programmable filtering.KeywordsAT89C52,elliptic,low-pass ,filter,MAX262,Amplitude-frequency,characteristic

6、stester2目录(一)课题背景1(二)滤波器的发展1(三)论题的设计与要求2(四)滤波器的分类2(五)本文的研究内容4二、系统组成5(一)程控滤波器的总体说明51、程控放大器电路52、程控滤波器电路63、幅频特性测试设计6(二) 系统主要单元电路设计71、系统简介72、程控放大器电路83、程控滤波电路84、四阶椭圆低通滤波器设计电路105、幅频特性测试设计126、峰值检波电路14三、系统电路详解17(一)器件介绍171、单片机 AT89C52172、滤波器芯片 MAX262183、固定放大器 PGA103204、AD752021(二)功能电路图221、程控放大电路222、程控滤波电路233

7、、系统总机电路25(三)系统测试及其结果271、放大器测试及其结果272、低通滤波器测试及其结果283、椭圆滤波器测试及其结果294、幅频特性测试及其结果305、误差分析30四、软件设计30(一)系统软件设计与实现30(二)系统程序的编译与仿真31结 论35致 谢36参考文献373(一)课题背景什么是滤波器呢?从广义上来说,凡是有能力进行信号处理的装置都可以称为滤 波器,在近代电信设备和各类控制系统中,滤波器应用极为广泛,从某种意义上来说 滤波器的发展进程就代表了电子业的发展进程,在所有的电子部件中,使用最多、技 术最为复杂的要算滤波器了。滤波器的优劣直接决定产品的优劣,所以,对滤波器的 研究

8、和生产历来为各国所重视。我国的电子行业还处于发展阶段,相对于发达国家我 们还有不小的差距,所以我们必须投入更大的努力改变这一现状,从而做到不求人, 只求己。(二)滤波器的发展1917 年美国和德国科学家分别发明了 LC 滤波器,次年导致了美国第一个多路复 用系统的出现。20 世纪 50 年代无源滤波器日趋成熟。自 60 年代起由于计算机技术、 集成工艺和材料工业的发展,滤波器发展上了一个新台阶,并且朝着低功耗、高精度、 小体积、多功能、稳定可靠和价廉方向努力,其中小体积、多功能、高精度、稳定可 靠成为 70 年代以后的主攻方向。导致 RC 有源滤波器 、数字滤波器、开关电容滤波 器和电荷转移器

9、等各种滤波器的飞速发展,到 70 年代后期,上述几种滤波器的单片 集成已被研制出来并得到应用。80 年代,致力于各类新型滤波器的研究,努力提高 性能并逐渐扩大应用范围。90 年代至现在主要致力于把各类滤波器应用于各类产品 的开发和研制。当然,对滤波器本身的研究仍在不断进行。我国广泛使用滤波器是 50 年代后期的事,当时主要用于话路滤波和报路滤波。 经过半个世纪的发展,我国滤波器在研制、生产和应用等方面已纳入国际发展步伐, 但由于缺少专门研制机构,集成工艺和材料工业跟不上来,使得我国许多新型滤波器 的研制应用与国际发展有一段距离。(三)论题的设计与要求(1)放大器输入正弦信号电压振幅为 10mV

10、,电压增益为 60dB,增益 10dB 步进 可调,通频带为 100Hz40kHz,放大器输出电压无明显失真。(2)滤波器可设置为低通滤波器,其-3dB 截止频率 fc 在 1kHz20kHz 范围内 可调,调节的频率步进为 1kHz,2fc 处放大器与滤波器的总电压增益不大 于 40dB, RL=1k 。(3)电压增益与截止频率的误差均不大于 10%。 (4)有设置参数显示功能。(四)滤波器的分类滤波器有各种不同的分类,一般有如下几种。4滤 波 器模拟数字有 源异 类无 源取样模拟数字混 合表传各 类晶机陶R自复多窄FI面输谐 振体械瓷L适数维带II波线器C应RR开电 荷开关转 移关拓器电R

11、CRLSMOSS扑容CCRET-CCCCDBBD图 1-1 滤波器的分类(1)按处理信号类型分类按处理信号类型分类,可分为模拟滤波器和离散滤波 器两大类。其中模拟滤波器又可分为有源、无源、异类三个分类;离散滤波器又可分 为数字、取样模拟、混合三个分类。当然,每个分类又可继续分下去,总之,它们的 分类可以形成一个树形结构,如图所示。实际上有些滤波器很难归于哪一类,例如开关电容滤波器既可属于取样模拟滤波 器,又可属于混合滤波器,还可属于有源滤波器。因此,我们不必苛求这种“精确” 分类,只是让人们了解滤波器的大体类型,有个总体概念就行了。(2)按选择物理量分类 按选择物理量分类,滤波器可分为频率选择

12、、幅度选择、时间选择(例如 PCM制中的话路信号)和信息选择(例如匹配滤波器)等四类滤波器。 (3)按频率通带范围分类 按频率通带范围分类,滤波器可分为低通、高通、带通、带阻、全通五个类别,而梳形滤波器属于带通和带阻滤波器,因为它有周期性的通带和阻带。(五)本文的研究内容本次课题主要研究的是以单片机为核心控制而设计的程控滤波器,硬件以单片机 AT89C52 为核心控制,主要由 PGA103 放大器、程控滤波器 MAX262、椭圆滤波器和幅5频特性测试(DDS)等部分组成。本系统可实现程控滤波,放大器增益可设置;低通 或高通滤波器通带、截止频率等参数可设置。本次课题的仿真部分,用到了 multi

13、sim 软件,主要针对程控滤波器的放大部分 与滤波部分。通过设置函数信号发生器的参数改变输入信号,由四踪示波器观察得输 出信号随之改变的情况,最后分析数据得出结论。6二、系统组成(一)程控滤波器的总体说明按设计要求,我大致将程控滤波器按功能分为三部分,如图 21 所示:1、程控放大器电路2、程控滤波电路3、幅频特性测试60dB 放大程控衰减程控滤波器输入输出锁0 存 0 器 幅 频特 性 机测试AT89C52图 2-1 系统总体框图1、程控放大器电路方案一:采用模拟电路搭建,由模拟开关选择不同的电阻档实现步进。此方法不占用单片机资源,使单片机能更好地控制其它电路,但采用纯模拟电路搭建不易控制,

14、且控制精度不高。方案二:程控放大器由两极电路组成,输入信号首先经过 PGA103 固定放大后送入 AD7520 由单片机控制增益步进。由于 AD7520 输入电压幅度的要求,在此采用先固定放大再程控,最后再固定放大。此方法控制精度高,由 AD7520 决定步进精度,方便调节控制步进。原理框图如图 22 所示。初步放大增益控制再次放大图 2-2 程控放大原理框图 基于以上方案比较,我选取方案二。2、程控滤波器电路方案一:采用运放构成切比雪夫有源滤波电路,通过单片机间接控制可变电 容两端的电压改变电容的值来改变截止频率和程控步进。但电路复杂,不容易控 制且精度不高,也不易于带通带阻等功能的扩展。方

15、案二:选用专用的程控滤波器芯片 MAX262 实现。MAX262 可编程对各种低 频信号实现低通、高通、带通、带阻和全通滤波器处理,且滤波器的特性参数如 中心频率、品质因数等可通过编程进行设置。基于以上方案比较,我选取方案二。3、幅频特性测试设计采用扫频的方法:让不同的频率的标准信号通过待测系统,通过比较输入、 输出波形的幅度关系,得到系统的幅频特性。原理框图如图 2-3 所示。峰值检波A/D单转 片 信号源 检测网络 峰值检波 换 机图 2-3 幅频特性测试 方案一:采用单片机动态编程技术,用软件产生正弦波数据,存入 RAM,利用指令寻址,经 D/A 转换输出波形。用有源峰值检波器实现峰值检

16、波,再送入A/D 转换完成量化,由单片机控制经 D/A 输出得到幅频特性。因单片机工作频率 的限制使得其产生的信号采样点数少,上限频率不高。方案二:采用锁相式频率合成器,利用 PLL 将 VCO 的输出品,锁定在所需频率上。1方案三:采用直接频率合成(DDS),按频率要求对相位增量进行累加,以累 加相位值作为地址码读取存放在存储器内的波形数据,经 D/A 转换、滤波即得所 需波形。单片机通过置入不同的相位增量来实现对正弦波频率的控制。采用 DDS产生的信号精度高,线性和稳定度好。 基于以上方案比较,我选取的是方案三。(二) 系统主要单元电路设计1、系统简介本系统主要以 AT89C52 单片机为

17、控制核心,由 PGA103 放大器、程控滤波器MAX262、椭圆滤波器和幅频特性测试组成。实现了电压增益放大 60 dB 和 10dB步进,频率为 1K-20KHZ 步进为 1K 的低通高通滤波器,LC 构成的椭圆低通滤波器和扫频范围 100-200KHZ 的幅频特性测试。2、程控放大器电路程控放大器采用固定放大器(PGA103)加可控电路(AD7520)实现。由于 AD7520 的输入信号不能太大,所以采用初、次两极放大。将 D/A 看成一个可数 控的电阻网络来实现增益可变放大,其放大倍数由单片机送到 D/A 的数据决定。 其仿真电路如图 2-4 所示。图 2-4 程控放大器23、程控滤波电

18、路滤波电路采用 MAX262 芯片设计,MAX262 芯片是 Maxim 公司推出的双二阶通 用开关电容有源滤波器,可通过微处理器精确控制滤波器的传递函数(包括设置 中心频率、品质因数和工作方式)。在不需外部元件的情况下就可以构成各种带 通、低通、高通、陷波和全通滤波器。在程序控制下设置中心频率、品质因数和滤波器的工作方式。输入时钟频率与 6 位编程输入代码一起决定滤波器的中心频率或截止频率,不影响其他滤波参数。滤波器 Q 值也可独立变成。每个滤波器 的独立时钟输入端可以连接晶体振荡器、FC 网络或外部时钟发生器。片内开关和电容提供反馈以控制每个滤波器的和 Q。内部电容的开关速率是影响这些参数

19、精度的主要因素,尽管这些开关电容网络(SCN)实际上为采样系统,但它 们的特性可与连续滤波器(如 RC 快速滤波器)的特性相媲美。时钟频率与中心频率之比()保持高值,以便保持理想的二阶状态变量响应。该电路由芯片 AT89C52 的 P1 口来控制,由单片机的 P0.0P0.5 口及 P2.2将数据送入存储器 74HC573 存起来再送入 MAX262 。通过设置相应的参数,可实 现带宽为 1K-20KHz 的低通、高通、带通滤波。其滤波电路如图 2-5 所示。图 2-5 四阶滤波器4、四阶椭圆低通滤波器设计电路四阶椭圆低通滤波器,由运放 NE5534、电感、电容等构成 LC 有源滤波电路。3经

20、仿真,各个参数都得到了圆满的解决,其仿真电路和防真波形如图 2-6,2-10 所示。图 2-6四阶低通椭圆滤波器J1+12-12+123GN D2C1C3+ C4-121C2+10uF10uFCO N30 . 1uF0 . 1uF+12C5GN DGN D1uF718L1J2R11kC7 220uH3J326R2L212U1150180uHCO N2GN D2 . 7nF2GN D CO N2C6C84557nF90nF-12GN D图 2-7四阶低通椭圆滤波器原理图设计电路原理:利用集成运算放大器与 RC 低通电路组成有源滤波器,可以提高通带电压放大倍数和带负载能力,我采用运放 NE5534

21、,级联 RC 电路,因为 NE5534 集成了两块运放,输入电压经过四级 RC 低通电路后,接到运放同相端,从而构成了四4阶低通滤波器。从运放的输出端接一个电容到同相端,其实是引入了一个反馈, 其目的是为了使输出电压在高频段迅速下降,在接近于通带截至频率 f0 的范围内不致下降太多,从而有利于改善滤波特性。当 f f0 时,每级 RC 电路的相位移为-45 ,因为 -arctg( f ) ,故两级的 RC 电路的总相移为-90 ,因此在 f 接近 f0f0 但又低于 f0 的频率范围内,Uo 与Ui 的相位差接近-90 ,Uo 与Ui 同相,所以 电容反馈为正反馈,即反馈信号加强了输入信号的作

22、用,使电压放大倍数增大, 在接近 f0 时,幅频特性不会下降的太快。当 f0 f 时,每级 RC 电路的相位移接近-90 。则两级 RC 电路的总相移接近-180 ,此时Uo 与Ui 反相,反馈为负反馈,反馈信号减弱输入信号,放大倍数降低,于是高频段下降快。因为是两个运放级 联构成滤波电路,每个运放与 RC 低通电路独立滤波,所以滤波效果更优于二阶 低通滤波器。 运放输出信号再接入 RC、LC 电路,构成谐振回路,用于提高电路 的抗干扰能力,选择出所需信号。放大倍数公式如下:AuU oAup10mv2U if5mv1 + jf0f01,滤波器的截止频率为1kHZ 。2 RC图 2-8 信号源5

23、图 2-9 滤波器输出信号波形图 2-10 椭圆滤波器的波特图可以从波特图中看出,当滤波器的截止频率为 1K 时,衰减为 3dB 左右, 符合程控滤波器的设计要求。5、幅频特性测试设计信号源由直接数字频率合成器(DDS)构成,峰值检波采用二极管包络检波 电路。信号源经被测网络后进行峰值检波,再由A/D采集数据送入单片机处理后 由D/A转换送出。测量原理如图2-11所示。正弦信号发数据采集、处理、生器(DDS)被测网络输出图 2-11 幅频特性测试原理框图 其中,信号源部分电路如下6图 2-12 信号源电路6、峰值检波电路图 2-13 峰值检波原理图751kJ1C11U1D12R2+5 . 1k

24、31VO UT0 . 15u2-CO N2R35 . 1kR4R720k1 . 8kR5R8100k560R6510C20 . 01uC4+47uC30 . 047uJ212CO N2图 2-14 峰值检波原理图电路原理:本电路利用二极管的单向导电性,从输入调幅波中检出原来的低 频调制信号。输入端加一运算放大器,目的是为了提高由调幅部分送来的正常调 幅波的幅度,以满足大信号峰值检波的要求。它是由信号源、运放、二极管和RL C低通滤波器串联组成,RL C 电路有两个作用,一是作为检波器的负载,在其两端输出调制信号电压;二是起到载波滤波作用。当输入信号较大(大于 0.5V)时, 检波器即可工作于大

25、信号峰值包络检波状态。在高频信号电压的正半周时,二极 管正向导通并对电容器 C 充电,由于二极管的正向导通电阻很小,所以充电电流 id 很大,使电容器上的电压Uc 很快就接近高频电压的峰值。这个电压建立后通过信号源电路,又反向地加到二极管 D 的两端。这时二极管导通与否,由电容器 C 上的电压Uc 和输入信号电压Ui 共同决定。当高频信号的瞬时值小于Uc 时,二极管处于反向偏置,管子截止,电容器就会通过负载电阻 R 放电。由于放电时间 常数 RC 远大于调频电压的周期,故放电很慢。当电容器上的电压下降不多时, 调频信号第二个正半周的电压又超过二极管上的负压,使二极管又导通。在导通 时间内又对电

26、容器充电,电容器的电压又迅速接近第二个高频电压的最大值。当 二极管又截止时,电容器又通过负载电阻 R 放电,不断地循环反复以上过程,因 此只要充电很快,即充电时间常数Rd C 很小(Rd 为二极管导通时的内阻);而放电时间常数足够慢,即放电时间常数 RC 很大,满足 Rd C RC,就可使输8出电压Vc 的幅度接近于输入电压Vi 的幅度,即传输系数接近 1。另外,由于正向导电时间很短,放电时间常数又远大于高频电压周期(放电时Vc 的基本不变),所以输出电压Vc 的起伏是很小的,可看成与高频调幅波包络基本一致。而高频调幅波的包络又与原调制信号的形状相同,故输出电压Vc 就是原来的调制信号,最后接

27、电位器和固定电阻,是为了增大电路的负载,而检波器总是通过大容量的耦合电容与其他电路相接。图 2-15 信号源图 2-16 检波器输入、输出波形 根据示波器的仿真示意图,可以看出输入、输出信号的波形基本一致,可以很好的回复解调信号。9三、系统电路详解(一)器件介绍1、单片机 AT89C52AT89C52 是一个低电压,高性能 CMOS 8 位单片机,片内含 8k bytes 的可反复擦写的 Flash 只读程序存储器和 256 bytes 的随机存取数据存储器(RAM),器件采用 ATMEL 公司的高密度、非易失性存储技术生产,兼容标准 MCS-51 指令系统,片内置通用 8 位中央处理器和 F

28、lash 存储单元,功能强大的 AT89C52 单片机可为您提供许多较复杂系统控制应用场合。AT89C52 有 40 个引脚,32 个外部双向输入/输出(I/O)端口,同时内含 2 个外中断口,3 个 16 位可编程定时计数器,2 个全双工串行通信口,2 个读写口线。0 3-1 AT89C52 引脚示意图 0 3-1 AT89C52 引脚说明表 名 称功能Vcc接+5V电源正端Vss接+5V电源地端XTAL1接外部石英晶体的一端。在单片机内部,它是一个反相放大器的输入端,构成片内振荡器。XTAL2接外部石英晶体的一端。在单片机内部,它是片内振荡器10的输出端。P0口P0.0 P0.7统称为P0

29、口。作为双向 I O 口。P1口P1.0 P1.7统称为P1口。作为双向 I O 口。P2口P2.0 P2.7统称为P2口。作为双向 I O 口。RXD串行口输入端TXD串行口输出端外部中断 0 请求输入端,低电平有效IN T 0外部中断 1 请求输入端,低电平有效I N T 1T0定时器/计数器 0 计数脉冲输入端T1定时器/计数器 1 计数脉冲输入端外部数据存储器写选通信号输出端,低电平有效W R外部数据存储器读选通信号输出端,低电平有效R DALE/地址锁存有效信号输出端PROG片外程序存储器读选通信号输出端,低电平有效PSENRST上电复位或掉电保护端为片外程序存储器选用端。低电平时,

30、只选用片外程序EAEA / VPP存储器,否则选用片内存储器。2、滤波器芯片 MAX262MAX262 芯片是 Maxim 公司推出的双二阶通用开关电容有源滤波器,可通过微 处理器精确控制滤波器的传递函数(包括设置中心频率、品质因数和工作方式)。它采用CMOS工艺制造,在不需外部元件的情况MAX262引脚就可以构成各种带通、低通、高通、带阻和全通滤波器。11图 3-2 MAX262 内部结构图图 3-3 MAX262 引脚示意图 表 3-2 MAX262 引脚说明表名 称功 能V+正电源电压端V-负电源电压端模拟地。对双电源工作接到系统地,对单电源工作接到1电GND2源电压。在单电源应用中,G

31、ND 应很好地接旁路。CLKA滤波器 A 的振荡器输入及时钟输入端,这个时钟在内部被二分频。CLKB滤波器 B 的振荡器输入及时钟输入端,这个时钟在内部被二分频。CLK OUT晶体和 RC 振荡器工作的时钟输出端12OSC OUT振荡器输出端。自时钟工作时,连接到晶体。INA,INB滤波器输入端BPA,BPB带通输出端LPA,LPB低通输出端HPA,HPB高通/陷波/全通输出端_写允许输入端WRA0,A1,A2,A3f0 和 Q 输入数据单元的地址输入端D0 ,D1f0 和 Q 编程数据输入端OPOUT自由运算放大器输出端OPIN自由运算放大器输入端3、固定放大器 PGA103PGA103 是

32、增益可控的放大器,通过两个 CMOS/TTL 开关可选择不同的增益值(1,10,100)。图 3-4PGA103 引脚示意图表 3-3PGA103 引脚说明表名 称功 能VIN信号输入端13VOUT信号输出端A1放大倍数控制端A0放大倍数控制端A1=0,A0=0放大倍数为 1A1=0,A0=1放大倍数为 10A1=1,A0=1放大倍数为 100V+电源正端(MIN+4.5,TYP=+15,MAX=+18)V-电源负端(MIN-4.5,TYP=-15,MAX=-18)GND接地端4、AD7520AD7520 是一种廉价型的 10 位 D/A 转换芯片,其输出 Vout=DnVref/210,其中Dn为10位数字量输入的二进制值,可满足210 =1024挡增益调节,满足题目的精度要求。它由 CMOS 电流开关和梯形电阻网络构成,具有结构简单、精确度高、体积小、控制方便、外围布线简化等特点。

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 学术论文 > 毕业论文/毕业设计

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服