收藏 分销(赏)

简易智能电动车的设计学士学位论文.doc

上传人:胜**** 文档编号:1952507 上传时间:2024-05-12 格式:DOC 页数:23 大小:302KB
下载 相关 举报
简易智能电动车的设计学士学位论文.doc_第1页
第1页 / 共23页
简易智能电动车的设计学士学位论文.doc_第2页
第2页 / 共23页
简易智能电动车的设计学士学位论文.doc_第3页
第3页 / 共23页
简易智能电动车的设计学士学位论文.doc_第4页
第4页 / 共23页
简易智能电动车的设计学士学位论文.doc_第5页
第5页 / 共23页
点击查看更多>>
资源描述

1、简易智能电动车(E题)摘要:本设计对象为一个简易小车的智能控制系统。该系统以FPGA技术作为核心控制技术,以行为控制作为核心控制策略。控制决策所需的信息主要来源于光电传感器、金属探测传感器以及超声传感器等传感器。通过光电传感器获取小车的车速、行驶距离、引导线以及引导性光源等信息;金属探测传感器主要获取轨道上的金属标志信息;超声传感器主要用来探测障碍物的位置。小车根据以上诸信息“了解”它所处的位置,并根据运动策略做出运动决策。实验表明,本文所提供的设计方案正确可行,能够达到设计要求。本设计的主要特色:(1) 控制电路电源和电动机电路电源隔离,信号通过光电耦合器耦合;(2) 采用以FPGA控制脉宽

2、调制(PWM)信号的方式实现了八个档位的车速调节;(3) 基于行为的智能控制策略;关键词:智能 电动车 FPGA Abstract:This paper describes an approach of designing an intelligent control system for a facility car. In this control system, FPGA chips act as a core hardware surpport, and FPGA technology as a core technology. Based on the signals (inform

3、ation) picked up by sensors such as photoelectrical sensors, metal sensors, and ultrasonic wave sensors, the information about circumstance are “known of” by car. On knowing of these pieces of information, the car can infer about actions it will take. This control system is characteristic of its:(1)

4、 dual powers supply, which separate motor control circuits system from that of others;(2) control system based on FPGA technology;(3) and intelligent control algorithms based on bahavior.Key words:FPGA/ Facility car /Intelligent control system目 录1. 简易智能电动车设计方案的比较、论证与选取 31.1 控制方案与控制策略的选取 31.2 信号检测与处理

5、方案的选取 41.2.1 小车移动距离(速度)检测 41.2.2 小车移动引导线信号的检测 41.2.3 小车金属路标信号的检测 41.2.4 路障信号的检测 51.2.5 引导性光源信号的检测 51.3 执行部件和显示模块的方案及选取 51.4 小车控制、驱动系统电源的方案及选取 61.5 简易智能小车测控系统的总体方案 62简易智能小车测控系统的设计 72.1小车的电动机驱动控制模块设计 72.2 信号检测与处理模块的设计 82.2.1小车移动距离(速度)信号的检测与处理模块的设计 82.2.2 小车移动引导线信号的检测与处理模块的设计 8 2.2.3金属探测传感系统的设计 92.2.4障

6、碍物信号的检测和处理系统设计 92.2.5小车运动方向引导光源信号的检测系统设计 102.3 简易智能小车运动控制策略设计 113实际测试 1231 测试设备1332 测试过程1333 测试结果1334 测试结论144结论 14参考文献141.简易智能电动车设计方案的比较、论证与选取简易智能电动车控制系统主要包括三个模块:小车的行动决策控制模块、信号的检测与处理模块以及小车的执行/显示模块。信号的检测与处理模块主要通过各种传感器从外部环境拾取标志信号;小车的行动决策控制模块信号对传感信号做进一步的处理,它是信号的检测与处理模块与小车执行/显示模块的接口;经过行动决策控制模块后的信号从信号的形式

7、上是符合执行/显示模块要求的信号,从信息的角度提供了足够控制信息给执行/显示模块。下面就系统各部分的实现方案分别进行评述、比较,并对拟选取的方案做出初步论证。1.1 控制方案与控制策略的选取引导线、金属路标、障碍物、引导性光源方案一:基于单片机技术的小车控制方案 单片机是通过对程序语句的顺序执行来建立与外部设备的通信和完成其内部运算处理,从而实现对信号的采集、处理和输出控制。它最主要的特点是其串行处理特性,在同一时刻CPU资源只能为一个线程占用。其原理图如图1.1所示:小车车轮转数检测LED显示/发声单片机电动机驱动图1.1 基于单片机技术的小车控制原理图方案二:基于FPGA(可编程门阵列)技

8、术的小车控制方案 相对于单片机的串形处理方式,FPGA(可编程门阵列)最显著的特点是它的并行处理能力,它支持对通信、信号的采集、处理和输出控制等的并行处理。其原理图如图1.2所示:FPGA控制信号的检测与处理模块驱动模块路标检测金属检测障碍物检测光源检测转数检测显示/发声模块提示灯时间显示路程显示蜂鸣器前后行驶左右转向停车图1.2 基于FPGA(可编程门阵列)技术的小车控制原理图上述两种控制方案除了在处理方式和处理能力(速度)上的差异,二者在实现的效果以及复杂程度等方面也有显著的区别。方案一单片机技术比较成熟,开发过程中可以利用的资源和工具丰富,但是它的外围电路较多,控制系统电路的集成和调试均

9、相对复杂,同时系统的抗干扰能力相对稍差。方案二将器件功能在一块芯片上,外围电路较少,集成度高。整个控制电路简单,控制板的体积大大减小的同时也提高了系统稳定性,此外FPGA也有方便的开发环境和丰富的开发工具等可资利用,易于调试和进行功能扩展。鉴于智能电动小车需要同时对多路信号进行检测、处理,且对这些信号处理的实时性要求比较高,运算处理速度是控制方案选择时所必须优先考虑的问题。再综合FPGA在稳定性以及硬件复杂程度等方面的优越性,本设计的控制方案模块拟选用上述基于FPGA(可编程门阵列)技术的方案二。本文将采用基于行为的控制策略(具体见后续相关章节)。1.2 信号检测与处理方案的选取本简易智能电动

10、车所包含的传感器有光电传感器、金属探测传感器以及超声传感器等,分别用来拾取与引导线、小车车轮转数(距离和速度)、引导性光源、金属路标、以及障碍物等有关的信号,现就各传感器选取有关的问题讨论如下: 1.2.1 小车移动距离(速度)检测方案一:采用霍尔集成片的方案 该方案利用霍尔效应实现对小车车轮转数(距离和速度)的检测。在车轮上安装磁片,而将霍尔集成片安装在固定轴上,当磁铁正对金属板时,金属板发生横向导通,产生一个脉冲,这样通过对脉冲的计数就可以反求小车行驶的距离和速度。方案二:采用对射式光电传感器的方案 采用的基于断继式光电开关原理的对射式光电传感器也是通过对脉冲计数,实现对距离和速度的测量的

11、。对射式光电传感器是沟槽式结构,可以将其固定于轮轴附近,再在轮轴上固定一片开有若干条漏光条的挡板。安装时把挡板的边缘嵌入到沟槽中,这样轮轴转一圈,就可以产生数目与挡板上的漏光条数相等的脉冲。以上两种方案从理论上说都可以得到小车行驶的距离和速度,但考虑到电动小车的车轮较小,采用方案一时磁片安装困难,也容易产生相互干扰;而采用方案二就可以很好地克服这些困难,实现较精确测量。故采用上述方案二。1.2.2小车移动引导线信号的检测环境所提供的引导线是白色背景下一条宽度为2厘米的黑线,为了确保小车沿着该引导线行驶,小车必须“识别”黑色引导线和白色背景,可以在小车底部左、右部分分别安装一对反射式光电二极管以

12、提供反映小车与引导线位置关系的信号。该传感器是利用PN结单向导电性的结型光电器件,具有响应速度快、精巧、坚固、温度稳定性好和工作电压低(1020V)的优点,因而不失为一种比较理想的方案。此外,发光二极管安装的位置也至关重要,兹提出两种方案以供选取:将两个传感器分别置于黑线内侧或者放置于黑线外侧。考虑到引导线只有2cm宽,若采用置于黑线内侧方式由于探测的范围太小,小车极易冲出轨道而失去判断力;置于黑线外侧的方式较为可行,对小车的控制也比较准确。是故,选用后者。1.2.3 小车金属路标信号的检测要检测到跑道下面的金属,并沿着金属路标到达C点,小车必须要能“识别”金属。堇提供两种方案参考:其一是采用

13、自制金属检测器的方案。原理图如图1.3所示,基于电涡流式传感器的原理,受到交变磁场作用的导体会产生电涡流,从而改变线圈原来阻抗。其二:采用LJ12A3-4-Z/BX型金属检测器的方案。经过实验比较,LJ12A3-4-Z/BX型金属检测器在性能和指标方面都优于自制的金属探测器。分析如下:自制的金属探测器是用多匝金属丝绕制而成,制作过程繁琐,而且精度不高。且线圈振荡产生的正弦波形要通过整形,才能成高低电平。相反的LJ12A3-4-Z/BX型金属检测器弥补了以上的不足,精确度高也比较稳定。所以,采用LJ12A3-4-Z/BX型金属检测器完成金属的探测的功能。图1.3 自制金属传感器原理图1.2.4

14、路障信号的检测超声波传感器相比于其它类型的传感器(如激光测距传感器)是小车实现障碍物检测部分最现实可行的装置。超声波具有频率高、波长短、绕射现象小,特别是方向性好、能够实现定向传播等特点,特别适合应用于实现各种遥控和测量,如测速、测距、入侵报警、汽车倒车雷达等。完全满足小车探测障碍物的需要。1.2.5引导性光源信号的检测引导性光源信号由置于小车运动前方的200瓦的白炽灯提供,作为电动车移动的引导光源,小车必须能够通过光电传感器识别光源的方位,同时意味着光源信号的传感系统必须能够排除背景光(大多数情况为自然光)的干扰。针对这一具体情况,没有通用的集成式光电传感器可用,本小车系统将用自制的传感系统

15、替代。其理论分析和设计过程见后续有关章节。1.3 执行部件和显示模块的方案及选取执行部件主要指小车驱动部分。它由前、后轮的各一个驱动电机组成,小车通过前轮电机控制运动方向,后轮电机则用来提供小车的运动动力。前、后电机的驱动控制信号均由FPGA芯片以PWM(脉宽调制)的方式所控制产生,再通过调节PWM参数来控制驱动电机从而精确地控制小车的前进、后退、左转和右转,以及小车的运动速度。显示/发声模块用以显示小车行驶距离、时间等相关信息以及在特定的条件下发出相应的声光信息,可分别用译码驱动的LED数码管、发光二极管和蜂鸣器来实现。1.4 小车控制、驱动系统电源的方案及选取方案一:采用单一电源供电 这样

16、供电比较简单;但是由于电动机启动瞬时电流很大,而且PWM驱动的电动机电流波动较大,会造成电压不稳,严重时可能会对FPGA小板造成损坏。方案二:采用双电源供电 将电动机驱动电源与FPGA小板及外围电路电源完全隔离,利用光电耦合器传输信号。如下图1.4所示。这样做虽然不如单电源方便灵活,单可以将电动机驱动所造成的干扰彻底清除,提高了系统的稳定性。考虑到本设计的稳定性更为重要,故采用方案二。电源1电源2光耦电机驱动电路FPGA及外围电路图1.4 双电源供电方式原理框图1.5 简易智能小车测控系统的总体方案以上对简易智能小车测量、控制系统的各个模块进行了方案层面上的比较与论证,现将系统总体方案表示如图

17、1.5。整形电路FPGA方波信号LED数码管时间、距离显示发光二极管、蜂鸣器光声信号PWM电动机驱动转数检测整形电路速度、方向控制车轮转动检测信号方波信号检测信号路标检测金属检测障碍物检测光源检测图1.5 简易智能小车测量控制系统概念框图对图1.5所示的系统所包含的主要模块概述如下:系统核心控制模块:包括FPGA芯片及由其硬件逻辑功能所承载的控制策略;信号检测和处理模块:包括两对反射式光电传感器、一对对射式光电传感器、白炽灯光源光电传感器、金属传感器和超声波传感器及相关处理系统;驱动模块:由FPGA控制的以PWM(脉宽调制)的方式控制电动机的驱动信号的电动机驱动电路;显示/发声部分:包括LED

18、数码管、译码驱动电路、发光二极管及蜂鸣器;电源部分:包括电动机驱动电源和FPGA小板及外围电路电源。2简易智能小车测控系统的设计经过方案层面的研究,我们已然得到了如图1.5所示的简易智能小车测量控制系统概念框图。这一部分我们将分别对上述各个模块进行理论分析和设计。2.1小车的电动机驱动控制模块设计电动机驱动控制模块是一个执行模块。电动机接受由FPGA控制端发出的控制信号(该控制信号根据控制策略产生,控制策略见后续章节)的控制,从而确定电机的转动方向和转动速度。由前、后两电机的转动方向和转动速度分别决定小车的运动方向和运动速度。实现上述电动机驱动控制的电路如图2.1所示。 图2.1 电动机驱动电

19、路图中的12个三极管是这个电路的关键,这12个三极管的导通与否关系到电机的停机和正反转。由于这个电路是由FPGA控制,所以与FPGA的数据输出端口相接时,必须用四个光耦集成块TIL117隔开,将控制部分与电机的驱动部分隔离开来,这样增加了各系统模块之间的隔离度。若5、4端口输出为高电平,对应的光耦1和光耦2导通,三极管Q1、Q4、Q6和三极管Q7、Q10、Q12导通,其余6个三极管截止,使电动机正向偏置;若3、2端口输出为高电平,对应的光耦3和光耦4导通,三极管Q2、Q3、Q5 和三极管Q8、Q9、Q11导通,其余6个三极管截止,电动机反向偏置。本设计中端口5控制小车左转,端口3控制右转,端口

20、4控制前行,端口2控制后退。我们通过调节一个10Hz的周期信号占空比系数,来对车速进行控制。该控制信号的占空比系数决定了电机的通、断电时间,实际上也就实现了速度上的调节。速度共分为八档,可以满足车速调节的精度要求。综上,用FPGA控制以PWM(脉宽调制)的形式产生的控制信号驱动小车电动机不仅能精确地控制小车的运动方向如前进、后退、左转和右转,也可以通过控制电动机的输出功率和转速来限定小车行驶速度。2.2 信号检测与处理模块的设计信号检测和处理模块包括两对反射式光电传感器、一对对射式光电传感器、白炽灯光源光电传感器、金属传感器和超声波传感器及相关处理系统,它们分别完成相关信息的拾取和处理。现将它

21、们的设计过程分别叙述如下。2.2.1小车移动距离(速度)信号的检测与处理模块的设计为了对小车的总行程(或速度)进行测量,把有沟槽的断继式光电传感器固定于轮轴附近,再在轮轴上固定一片开有四条漏光条的挡板。安装时把挡板的边缘嵌入到沟槽中,轮轴转一圈,漏光条依次通过沟槽,光电开关得到通断相同的高低电平信号。得到的信号经过整形,发送到FPGA,以实现对距离、速度的测量,具体电路如图2.2所示。需要说明的是,考虑到后轮刹车时的打滑、和车轮反转的情况,我们将该检测装置安装在前轮上,以提高测量的准确度。经测量得小车车轮外围得周长为16cm,车轮转动一周,发出四个脉冲。所以有 16cm44cm 即小车测量的最

22、小精确为4cm小车行驶距离的测量:距离(cm) ,其中N为测得车轮的转数小车行驶速度的测量:速度, S为小车行驶距离,T为小车行驶的时间图2.2 转数检测原理图2.2.2 小车移动引导线信号的检测与处理模块的设计 小车沿着引导线行驶的意思是引导线始终与小车的中心线一致。要确定小车的这一状态,决定小车行驶方向的两个前轮一定位于引导线的两侧。要向控制器提供判断小车位置状态的这些信息,需要两个传感器,检测理想的初始状态(两个前轮位于引导线的两侧)是否遭到改变。要做到这一点,传感器必须具备对黑色的引导线和白色背景进行区分的能力。反射式光电传感器包括发射和接收部分,其中发射部分为发光二极管,接收部分为光

23、敏二极管。光电照射到路面并反射,由于黑线和白色背景的反射系数不同,可根据接收到的反射光强弱(由光电传感器转换为电信号的强弱)判断小车的左右传感器是否压住黑色引导线(或者说小车是否偏离由引导线给定的轨道)。根据该两传感器的状态可以判断小车和引导线的位置关系,如表2.1所示。 表2.1:小车和引导线的位置关系确定表传感器(左)传感器(右)小车位置0(未压引导线)0(未压引导线)理想的初始状态0(未压引导线)1(压引导线)向左偏1(压引导线)0(未压引导线)向右偏1(压引导线)1(压引导线)横压引导线实现上述逻辑功能的电路原理图如图2.3所示。光电检测器检测到黑线时,发射光比较微弱,而不足以使光敏二

24、极管导通,Q1或Q2截止,则输出的高电平信号通过施密特触发器整形后,就送入FPGA的数据输入端口1或2进行分析;反之,光电检测器检测到的是白色背景时,发射光较强,光敏二极管导通,Q1或Q2导通,则输出的低电平信号通过施密特触发器整形后,也送入FPGA的数据输入端口1或2进行分析。2.3 小车移动引导线检测原理图2.2.3金属探测传感系统的设计本设计中使用的金属探测传感器是基于接近式开关传感器工作原理。外界的金属性物体对传感器的高频振荡器(即是由缠绕在铁氧体磁芯上的线圈构成的LC振荡器)产生非接触式感应作用。当外界的金属性导电物体接近这一磁场,并到达感应区时,在金属物体内产生涡流效应,从而导致L

25、C振荡电路振荡减弱,振幅变小,即称之为阻尼现象。这一振荡的变化,经过施密特触发器整形成高低电平以后,即被开关的后置电路放大处理并转换为一确定的输出信号,触发开关并驱动FPGA,从而达到非接触式目标检测之目的。第 23 页 共 23 页图2.4 在阻尼和非阻尼状态时的磁场图2.5 接近式开关金属传感器的工作原理图2.2.4障碍物信号的检测和处理系统设计 超声传感器包括超声发射器、超声接受器和控制电路三个主要部分。它的工作原理大致是这样的:首先FPGA发射出的超声波发射信号经过7414整形到超声波发射器。超声发射器则向障碍物方向发射出一连串脉冲式的超声波 ,后即自行关闭,停止发射。超声波遇到物体后

26、,就被反射回来,此时超声接受器开始检测回声信号。当等到超声接受器收到回声信号后,就送一个标致信号到FPGA控制中心.超声传感器整个工作过程都是在控制电路控制下顺序进行的。图2.6 障碍物信号检测原理图2.2.5小车运动方向引导光源信号的检测系统设计该自制小车运动方向引导光源信号的检测系统示意图如图2.7所示。在小车的前端装上三个光电传感器。它们分别对光源进行信号采集,并通过采集到的数据发出控制信号,实现对小车的运动状态的控制。根据三个传感器检测到的数据,可以判断小车的运动趋势。具体描述见表2.2。表2.2 引导光源检测信号与小车运动关系表ABC小车状态010小车正对光源001小车向右偏离入库方

27、向(大幅度)100小车向左偏离入库方向(大幅度)111背景光严重干扰了灯光源011小车向右偏离入库方向(小幅度)110小车向左偏离入库方向(小幅度)000查找光源图2.8为引导源的检测原理图,作为探头的光电二极管。采集到光源信号的光电二极管处于导通状态,三极管Q1和Q2也导通,产生的一个低电平信号经过整流管整流、滤波,再反相输出一个高电平FPGA控制端。 图2.7 引导源检测系统示意图图2.8 引导源的检测原理2.3 简易智能小车运动控制策略设计系统用FPGA来完成整个核心控制部分,由信号的检测及处理模块把检测到的各路信号分别输入到FPGA的数据输入接口,然后用超高速硬件描述语言VHDL编程实

28、现控制部分。控制策略分别描述如下:(1) 小车移动距离(速度)信号的检测与处理控制策略的设计小车车轮转动,每当经过一次漏光条,对射式光电传感器就导通一次,导通4次,小车车轮即旋转了一周。可以通过计算光电传感器的导通次数,来对小车移动的距离(速度)进行测量。描述如下表3.1。其中n为传感器导通次数。表3.1 小车移动距离(速度)信号的控制策略示意表光电传感器导通次数车子行驶的距离4*n+1(16*n+4)cm4*n+2(16*n+8)cm4*n+3(16*n+12)cm4*(n+1)16*(n+1)cm(2) 小车移动引导线信号的检测与处理控制策略的设计小车要压着引导线前进,就需要两对检测黑线的

29、发射式光电传感器分别卡在黑线的两边。当它们检测到异样的信号后,就发送信号给FPGA控制核心部分,从而做出相应的操作。列表如下表3.2。其中0表示检测到黑线,1表示检测到白线。表3.2 引导线信号的检测与处理的控制策略示意表光电传感器左光电传感器右小车要做的动作00方向性错误01左转10右转11严重偏离轨道(3)金属探测传感控制策略的设计当金属探测传感器探测到金属的时候,对FPGA控制信号端口发出信号,随即FPGA控制系统发出信号给外围电路,控制发出光声信号以提示检测到了金属。(4)障碍物信号的检测和处理控制策略的设计 当用于探测障碍物的超声传感器检测到前方有障碍物的时候,它马上返回一个回声信号

30、。当传感器的接收部分,接收到了这个信号以后,就给FPGA控制系统发出一个标志信号。经过 FPGA的处理,对小车的动作做出相应的处理。(5)小车运动方向引导光源信号的检测控制策略的设计小车的三个光电传感器从三个方位对前方的电源进行检测,追踪。三个传感器分别采集到的不同信号,一起发送给FPGA控制系统,经过处理再输出一个控制信号给外部的电动机,来控制小车的走向。具体描述如下表3.3。其中A、B、C分别表示装在小车前部的三个光电传感器;1表示检测到光源的存在,0则表示没有检测到。表3.3 引导光源信号的检测控制策略示意表ABC功能010进库001左转(大幅度)100右转(大幅度)111有问题(调整整

31、个传感器位置)011左转(小幅度)110右转(小幅度)000查找光源(6)简易智能电动小车的总体控制策略的流程图表示YNYY启动引导线检测控制子程序金属检测控制子程序避障控制子程序光源检测子程序到达C点?N是否达到90s结束是否入库?N图2.9 简易智能电动小车总体控制策略的流程图表示3实际测试31 测试设备1最终测试用到的仪器包括模拟跑道:直道区长2.3m(下面铺有薄铁片任意个) 弯道区半径0.8m 障碍区长1m (两侧任意位置分别放一大小为50cm12cm6cm的障碍物) 停车区长0.6m 车库长0.4m卷尺:精度0.1cm,最大测量范围300.0cm。秒表:精度0.01s光源:灯泡薄铁片

32、:3片2调试过程中用到的仪器包括频率发生器:GFG-8216A示波器:YB4365直流电压源:DF1731SC2A数字万用表:UT20063.2 测试过程(1) 光电检测部分引导线的检测可以通过调节电位器来调整光电传感器的灵敏度,直至小车严格压着引导线行进。车速测量的检测可以通过对车轮转数的计数,乘以车轮的周长。计算出来的路程和实际用软尺所测的路程进行比较。(2) 金属检测部分小车检测到和显示的的金属片数因该与实际的金属片数相符。(3) 超声波检测部分小车通过超声波传感器避开障碍物,越过障碍区,将测的方法就是使小车行驶的过程中不会碰到障碍物。(4) 引导性光源检测部分小车由题目所给的光源的引导

33、,顺着光源顺利走进车库。即完成了光源引导部分的功能测试。3.3 测试结果具体测试结果分别如下列表所示:表3.1.1(起始点到C点的直道区的测试结果)测试次数秒表所测时间显示器显示距离距离误差计算实际薄铁片数目显示记录铁片数目计数误差计算1232.电动车绕过障碍物进入车库的测试结果测试电动车是否能安全使过障碍区,并准确进入车库。测试步骤:电动车到达C点停留5S之后开始测试,测试结果如表4.1.2所示。表4.1.2(从C点到达车库的测试结果)测试次数接触障碍物的次数能否准确进入停车区能否到达车库1231.基本要求测试测试步骤:开启电源开关,将电动车放于起跑线,开动;到达C点,小车检测到薄铁片,停车

34、;秒表开始计时,小车再次行驶时,停止计时。测试次数记录薄铁片数目实际铁片数目C点停留时间是否与障碍物接触能否检测到铁片并发出声光信号能否进入停车区并到达车库发挥部分能否完全进入车库1232.发挥部分测试:测量每个薄铁片至起跑线间的距离,如表4.2.2所示表4.2.2测试次数第一块铁片第二块铁片第三块铁片第四块铁片实际距离测试距离误差计算3.4 测试结论 经过对系统的各部分参数的测试,本设计的基本部分和发挥部分实现的功能都达到了设计要求。4结论本系统以FPGA技术作为核心控制技术,以行为控制作为核心控制策略。采用光电传感器、金属探测传感器以及超声传感器等传感器并配合超高速硬件描述语言VHDL编程

35、实现了小车跟踪引导线行驶、探测金属、躲避障碍物、自动寻找光源、时间和路程的显示以及蜂鸣器报警的功能。通过光电传感器获取小车的车速、行驶距离、引导线以及引导性光源等信息;金属探测传感器主要获取轨道上的金属标志信息;超声传感器主要用来探测障碍物的位置。小车根据以上诸信息“了解”它所处的位置,并根据运动策略做出运动决策。最终使小车完成题目中的各项任务。并且本设计还有许多由特色之处,比如控制电路电源和电动机电路电源隔离,信号通过光电耦合器耦合;采用以FPGA控制脉宽调制(PWM)信号的方式实现了八个档位的车速调节;基于行为的智能控制策略等等。很好的完成了题目设计的要求。参考文献【1】 赵负图.现代传感

36、器集成电路M.北京:人民邮电出版社,2000年(第一版)。【2】 潘松、黄继业.EDA技术实用教程M.北京:科学出版社,2002年(第一版)。【3】 高书莉、罗朝霞. 可编程逻辑设计技术及应用M.北京:人民邮电出版社,2001年(第一版)。【4】 谢自美、阎树兰、赵云娣等.电子线路设计实验测试(第二版)M.湖北:华中理工大学出版社.2000年.【5】 梅遂生、杨家德.光电子技术信息装备的新秀M.北京:国防工业出版社.1999年. 附录一(程序清单):library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use

37、 IEEE.STD_LOGIC_UNSIGNED.ALL;entity quancheng is Port (fuwei,clk : in std_logic; kaishi : in std_logic; Lucheng : in std_logic; xuanzxshi : in std_logic; dangwei1 : in std_logic; dangwei2 : in std_logic; dangwei3 : in std_logic; guangdian : in std_logic_vector(1 downto 0); Control : out std_logic_ve

38、ctor(3 downto 0); weixuan : out std_logic_vector(6 downto 0); Led : out std_logic_vector(6 downto 0);end quancheng;architecture Behavioral of quancheng issignal fanzhuan : std_logic;signal miao_5,miao_2 : std_logic;signal zhuanwan : std_logic;signal zuozhuan,youzhuan : std_logic;signal luchengjishu

39、: std_logic;signal wancheng : std_logic;signal tingzhi : std_logic;signal qianduan : std_logic;signal Hz_500,Hz_100,Hz_1 : std_logic;signal p1,p2,p3,p4,p5,pt : integer range 0 to 15;signal shijianxianshi : integer range 0 to 99;signal wanchengshijian : integer range 0 to 99;signal luchengxianshi : i

40、nteger range 0 to 32752;beginprocess(kaishi,clk)variable c : integer range 0 to 100000;begin if kaishi=0 then c:=0;elsif rising_edge(clk) then c:=c+1; if c=50000 then Hz_500=0; elsif c=100000 then c:=0;else Hz_500=1;end if;end if;end process;process(Hz_500)variable c : integer range 0 to 5;begin if

41、rising_edge(Hz_500) then c:=c+1;Hz_100=0; if c=5 then c:=0;Hz_100=1; end if;end if;end process;process(Hz_100)variable c : integer range 0 to 100;begin if rising_edge(Hz_100) then c:=c+1; if c=50 then Hz_1=0; elsif c=100 then c:=0; else Hz_1=1; end if;end if;end process;- shi jian kong zhi -process(fuwei,Hz_1,kaishi,wancheng) variable c : integer range 0 to 99;begin if fuwei=1or kaishi=0 then c:=0;tingzhi=0; elsif rising_edge(Hz_1) then if wancheng=0 then if c89 then c:=c+1; else c:=90;tingzhi=1; end if;else wanchengshijian=c;end if;end if; shijianxianshi=c;end process;- tiao su -proces

展开阅读全文
相似文档                                   自信AI助手自信AI助手
猜你喜欢                                   自信AI导航自信AI导航
搜索标签

当前位置:首页 > 包罗万象 > 大杂烩

移动网页_全站_页脚广告1

关于我们      便捷服务       自信AI       AI导航        获赠5币

©2010-2024 宁波自信网络信息技术有限公司  版权所有

客服电话:4008-655-100  投诉/维权电话:4009-655-100

gongan.png浙公网安备33021202000488号   

icp.png浙ICP备2021020529号-1  |  浙B2-20240490  

关注我们 :gzh.png    weibo.png    LOFTER.png 

客服